通信原理课设2FSK数字信号频带传输系统的设计与建模.docx

上传人:b****6 文档编号:7398004 上传时间:2023-05-11 格式:DOCX 页数:12 大小:234.45KB
下载 相关 举报
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第1页
第1页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第2页
第2页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第3页
第3页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第4页
第4页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第5页
第5页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第6页
第6页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第7页
第7页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第8页
第8页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第9页
第9页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第10页
第10页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第11页
第11页 / 共12页
通信原理课设2FSK数字信号频带传输系统的设计与建模.docx_第12页
第12页 / 共12页
亲,该文档总共12页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

通信原理课设2FSK数字信号频带传输系统的设计与建模.docx

《通信原理课设2FSK数字信号频带传输系统的设计与建模.docx》由会员分享,可在线阅读,更多相关《通信原理课设2FSK数字信号频带传输系统的设计与建模.docx(12页珍藏版)》请在冰点文库上搜索。

通信原理课设2FSK数字信号频带传输系统的设计与建模.docx

通信原理课设2FSK数字信号频带传输系统的设计与建模

目录

1绪论1

1.1软件介绍1

1.2题目分析及意义2

22FSK的调制3

2.12FSK的产生方法3

2.22FSK的调制原理图4

2.32FSK调制的建模方框图4

32FSK的解调5

3.12FSK的解调方法5

3.22FSK调制的建模方框图7

42FSK仿真8

4.1VHDL建模8

4.2调制仿真8

4.3解调仿真9

5心得体会10

6参考文献10

附录1调制VHDL代码11

附录2解调VHDL代码12

附录3本科生课程设计成绩评定表14

1绪论

1.1软件介绍

Xilinx公司的ISE软件平台具有界面友好、操作简单的特点,再加上Xilinx的FPGA芯片占有很大的市场,使其成为非常通用的FPGA工具软件。

ISE作为高效的EDA设计软件工具,与第三方软件扬长补短,使软件功能越来越强大,为使用者提供了更加丰富的Xilinx设计资源。

ISE的主要功能包括设计输入、综合、仿真、实现和下载,涵盖了可编程逻辑器件开发的全过程,下面简要说明各功能的作用:

1、设计输入:

ISE提供的设计输入工具包括用于HDL代码输入和查看报告的ISE文本编辑器(TheISETextEditor),用于原理图编辑的工具ECS(TheEngineeringCaptureSystem),用于生成IPCore的CoreGenerator,用于状态机设计的StateCAD以及用于约束文件编辑的ConstraintEditor等。

2、综合:

ISE的综合工具不但包含了Xilinx自身提供的综合工具XST,同时还可以内嵌MentorGraphics公司的LeonardoSpectrum和Synplicity公司的Synplify,实现无缝链接

3、仿真:

ISE本身自带了一个具有图形化波形编辑功能的仿真工具HDLBencher,同时又提供了使用ModelTech公司的Modelsim进行仿真的接口。

4、实现:

此功能包括了翻译、映射、布局布线等,还具备时序分析、管脚指定以及增量设计等高级功能。

5、下载:

下载功能包括了BitGen,用于将布局布线后的设计文件转换为位流文件,还包括了ImPACT,功能是进行设备配置和通信,控制将程序烧写到FPGA芯片中去。

1.2题目分析及意义

数字调制技术是现代通信的一个重要内容,在数字通信系统中,由于数字信号具有丰富的低频成份,不宜进行无线传输或长距离电缆传输,因而需要将基带信号进行数字调制(DigitalModulation)。

数字调制同时也是数字信号频分复用的基本技术。

数字调制与模拟调制都属于正弦波调制,但是,数字调制是调制信号为数字型的正弦波调制,因而数字调制具有自身的特点,一般说来数字调制技术分为两种类型:

一是把数字基带信号当作模拟信号的特殊情况来处理;二是利用数字信号的离散取值去键控载波,从而实现数字调制。

后一种方法通常称为键控法。

例如可以对载波的振幅、频率及相位进行键控,便可获得振幅键控(ASK)、移频键控(FSK)、相移键控(PSK)等调制方式。

移频键控(FSK)是数字信息传输中使用较早的一种调制形式,它由于其抗干扰及衰落性较好且技术容易实现,因而在集散式工业控制系统中被广泛采用。

以往的键控移频调制解调器采用“定功能集成电路+连线”式设计;集成块多,连线复杂,容易出错,且体积较大,本设计采用Lattice公司的FPGA芯片,有效地缩小了系统的体积,降低了成本,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。

22FSK的调制

2.12FSK的产生方法

频移键控即2FSK(Frequency-ShiftKeying)数字信号对载波频率调制,主要通过数字基带信号控制载波信号的频率来来传递数字信息。

在二进制情况下,“1”对应于载波频率,“0”对应载波频率,但是它们的振幅和初始相位不变化。

2FSK信号产生的两种方法:

一、直接调频法

用二进制基带矩形脉冲信号去调制一个调频器,使其输出两个不同频率的码元。

一般采用的控制方法是:

当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为f1);当基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为f2);从而实现了调频。

这种方法产生的调频信号是相位连续的,虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能做得太快,但是其优点是由调频器所产生的2FSK信号在相邻码元之间的相位是连续的。

二、频率键控法

频率键控法也称频率选择法。

它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现2FSK调制。

 

图1频率健控法原理框图

键控法产生的FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。

频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是UFSK(t)信号在基带信息变换时电压会发生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。

本次课程设计采用频率键控法产生2FSK信号。

2.22FSK的调制原理图

下图是利用两个独立分频器,以频率键控法来实现2FSK调制的原理电路图。

图中,与非门3和4起到了转换开关的作用。

当数字基带信号为“1”时,与非门4打开,f1输出,当数字基带信号为“0”时,与非门3打开,f2输出,从而实现了2FSK调制。

图2利用独立分频器的键控法实现2FSK调制

2.32FSK调制的建模方框图

2FSK调制方框图和调制电路的VHDL建模符号如下图所示。

2FSK调制的核心部分包括分频器、二选一选通开关等。

图中的两个分频器分别产生两路数字载波信号;二选一选通开关的作用是:

以基带信号作为控制信号,当基带信号为“0”,选通载波f1;当基带信号为“l”时,选通载波f2。

从选通开关输出的信号就是数字2FSK信号。

图中没有包含模拟电路部分,调制信号为数字信号。

图32FSK调制方框图

 

图42FSK调制电路的VHDL建模符号

32FSK的解调

3.12FSK的解调方法

数字频率键控(2FSK)信号常用的解调方法有如下两种:

一、同步(相干)解调法

在同步解调器中,有上、下两个支路,输入的FSK信号经过

两个带通滤波器后变成了上、下两路ASK信号,之后其解调原理与ASK类似,但判决需对上、下两支路比较来进行。

假设上支路低通滤波器输出为X1,下支路低通滤波器输出为X2。

判决准则是:

X1-X2>0判定输入为f1信号

X1-X2<0判定输入为f2信号

图5相干解调法原理框图

接收信号经过并联的两路带通滤波器进行滤波与本地相干载波相乘和包络检波后,进行抽样判决,判决的准则是比较两路信号包络的大小。

假设上支路低通滤波器输出为

,下支路低通滤波器输出为

,则判决准则是:

如果上支的信号包络较大,则判决为“1”;反之,判决为收到为“0”。

二、包络检波(非相干)解调法

输入的FSK中频信号分别经过中心频为、的带通滤波器,然后分别经过包络检波,包络检波的输出在t=kTb时抽样(其中k为整数),并且将这些值进行比较。

根据包络检波器输出的大小,比较器判决数据比特是1还是0。

 

图6非相干解调法原理框图

3.22FSK调制的建模方框图

FSK解调方框图和FSK解调电路的VHDL建模符号如下图所示,该模型与ASK的解调模型类似,其核心部分由分频器、寄存器、计数器和判决器组成。

图中分频器的分频系数取值对应图5中的分频器1和分频器2中较小的分频系数值,也就是说FSK解调器的分频器输出为较高的那个载波信号。

由于f1和f2的周期不同,若设f1=2f2,且基带信号电平“1”,对应f1;基带信号电平“0”对应载波f2,则图中计数器以f1为时钟信号,上升沿计数,基带信号“1”码元对应的计数个数为1/f1,基带信号“0”码元对应的计数个数为1/f2。

计数器根据两种不同的计数情况,对应输出“0”和“1”两种电平。

判决器以1为时钟信号,对计数器输出信号进行抽样判决,并输出基带信号。

图中没有包含模拟电路部分,调制信号为数字信号形式。

图72FSK解调方框图

图82FSK解调电路的VHDL建模符号

42FSK仿真

4.1VHDL建模

图92FSK调制解调VHDL建模图

4.2调制仿真

工程编译通过后,必须对其功能和时序性能进行仿真测试,以验证设计结果是否满足设计要求。

整个时序仿真测试流程一般有建立波形文件、输入信号节点、设置波形参数、编辑输入信号、波形文件存盘、运行仿真器和分析方针波形等步骤。

假设需要调制的二进制序列为[0101101]。

图102FSK调制仿真图

4.3解调仿真

工程编译通过后,必须对其功能和时序性能进行仿真测试,以验证设计结果是否满足设计要求。

整个时序仿真测试流程一般有建立波形文件、输入信号节点、设置波形参数、编辑输入信号、波形文件存盘、运行仿真器和分析方针波形等步骤。

以2FSK调制的输出作为2FSK解调的输入。

图112FSK解调仿真图

5心得体会

传统的2FSK调制解调方式都是采用硬件电路实现,电路复杂、调试不便。

文中采用硬件描述语言设计的基于FPGA调制解调器,设计灵活、修改方便,有效地缩小了系统的体积,增加了可靠性,同时系统采用VHDL语言进行设计,具有良好的可移植性及产品升级的系统性。

由于数字调制技术与FPGA的结合,使得通信系统的性能得到了迅速的提高。

通过FSK系统调制与解调建模,以ISE10.1软件为平台,基于VHDL语言,达到了预期的仿真结果。

通过本次设计,我了解了频移键控数字通信系统的用途及工作原理,熟悉了2FSK基于VHDL语言的设计步骤,提高了绘图能力,锻炼了设计实践和语言组织能力,培养了自己独立设计能力。

在此次的课程设计当中,由于使用ISE软件的人很少,遇到的问题基本都是靠自己上网查资料摸索解决的,虽然很辛苦,但是在这当中却学到了很多东西。

通过对课程设计的操作,让我看到了自己的不足,不仅学到了新的知识,也学到了一些分析问题和解决问题的能力。

其实课程设计的目的就是让我们发现问题,然后认真冷静的分析问题,最后解决问题,并在解决问题的过程中学习知识。

这次课程设计培养了自己解决问题的能力,掌握和巩固了书本上的理论知识,综合运用了本专业的相关知识,对知识有了系统的重新认识。

可以说真正做到了理论与实践相结合。

这次课程设计的顺利完成可以为以后的毕业设计、工作打下了基础,并且我也深深体会到自己还有很多东西都不懂,需要在以后的时间多花点时间给自己学习,为未来的就业做好充分准备。

6参考文献

[1]樊昌信等.通信原理(第五版).北京:

国防工业出版社,2001

[2]刘昌华.数字逻辑EDA设计与实践.北京:

国防工业出版社,2006

[3]苏青,张红.基于CPLD/FPGA技术的数字频率设计.北京:

清华大学出版社,2007

[4]王小军.VHDL简明教程.北京:

清华大学出版社,1997

[5]潘松,黄继业.EDA技术实用教程.北京:

科学出版社.2005

[6]黄智伟.FPGA系统设计与实践.北京:

电子工业出版社,2005

附录1调制VHDL代码

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityFSKis

Port(clk:

inSTD_LOGIC;

start:

inSTD_LOGIC;

x:

inSTD_LOGIC;

y:

outSTD_LOGIC);

endFSK;

architectureoneofFSKis

signalq1:

integerrange0to11;

signalq2:

integerrange0to3;

signalf1,f2:

std_logic;

begin

process(clk)

begin

ifclk'eventandclk='1'then

ifstart='0'thenq1<=0;

elsifq1<=5thenf1<='1';q1<=q1+1;

elsifq1=11thenf1<='0';q1<=0;

elsef1<='0';q1<=q1+1;

endif;

endif;

endprocess;

process(clk)

begin

ifclk'eventandclk='1'then

ifstart='0'thenq2<=0;

elsifq2<=0thenf2<='1';q2<=q2+1;

elsifq2=1thenf2<='0';q2<=0;

elsef2<='0';q2<=q2+1;

endif;

endif;

endprocess;

process(clk,x)

begin

ifclk'eventandclk='1'then

ifx='0'theny<=f1;

elsey<=f2;

endif;

endif;

endprocess;

endone;

附录2解调VHDL代码

libraryIEEE;

useIEEE.STD_LOGIC_1164.ALL;

useIEEE.STD_LOGIC_ARITH.ALL;

useIEEE.STD_LOGIC_UNSIGNED.ALL;

entityFSK2is

Port(clk:

inSTD_LOGIC;

start:

inSTD_LOGIC;

x:

inSTD_LOGIC;

y:

outSTD_LOGIC);

endFSK2;

architectureoneofFSK2is

signalq:

integerrange0to11;

signalxx:

std_logic;

signalm:

integerrange0to5;

begin

process(clk)

ifclk'eventandclk='1'thenxx<=x;

ifstart='0'thenq<=0;

elsifq=11thenq<=0;

elseq<=q+1;

endif;

endif;

endprocess;

process(xx,q)

ifq=11thenm<=0;

elsifq=10then

ifm<=3theny<='0';

elsey<='1';

endif;

elsifxx'eventandxx='1'thenm<=m+1;

endprocess;

endone;

附录3本科生课程设计成绩评定表

姓名

性别

专业、班级

课程设计题目:

课程设计答辩或质疑记录:

 

成绩评定依据:

 

最终评定成绩(以优、良、中、及格、不及格评定)

 

指导教师签字:

年月日

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 解决方案 > 学习计划

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2