全国大学生电子设计大赛国家一等奖题Word格式.doc

上传人:wj 文档编号:7999740 上传时间:2023-05-09 格式:DOC 页数:12 大小:264.50KB
下载 相关 举报
全国大学生电子设计大赛国家一等奖题Word格式.doc_第1页
第1页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第2页
第2页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第3页
第3页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第4页
第4页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第5页
第5页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第6页
第6页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第7页
第7页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第8页
第8页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第9页
第9页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第10页
第10页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第11页
第11页 / 共12页
全国大学生电子设计大赛国家一等奖题Word格式.doc_第12页
第12页 / 共12页
亲,该文档总共12页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

全国大学生电子设计大赛国家一等奖题Word格式.doc

《全国大学生电子设计大赛国家一等奖题Word格式.doc》由会员分享,可在线阅读,更多相关《全国大学生电子设计大赛国家一等奖题Word格式.doc(12页珍藏版)》请在冰点文库上搜索。

全国大学生电子设计大赛国家一等奖题Word格式.doc

方案一技术成熟,但由于电路结构上的原因,很难调试,方案二干扰较小但是较难达到较高的指标且变压器有易饱和的危险,方案三结构简单,调试方便,控制简单。

所以采用方案三。

1.2电流均流控制的选择

采用软件闭环控制方式。

键盘预置电流值,经单片机处理后送入DAC将其转换为电压信号从而控制输出电流。

采样电路采集实际输出电流值,再经过ADC转换送回单片机,与预置电流值进行比较并通过适当的控制算法,调整输出电流值使其与设定电流值相等,从而构成闭环控制系统。

采用硬件闭环控制方案。

硬件闭环稳流的典型电路如图1.3所示,采用单片机来控制集成运放反馈型的电流源,使其电压电流和负载电阻匹配,通过调节负载即可实现。

集成运算放大器是一种高增益的直流放大器,一般工作在闭环状态只要外接几个电阻,就可以构成具有深度负反馈的放大器,因而可用作恒流源,通过负反馈的作用,使加在比较器两端的电压相等,从而保持输出电流的恒定。

图1.3闭环稳流电路图

基准电压可以有手动调节,也可以采用单片机经过DAC提供,给定不同的基准电压,从而恒定不同的电流值。

方案一最大的问题是:

若输入电源电压或负载发生变化,都需要经过一段时间调整后才能使电流稳定。

方案选择:

方案二硬件电路不仅简单而且又能快速得实现稳定的电流输出,故本系统采取方案二。

1.3均流方案的论证

由于该系统是由两路DC/DC输出构成的并联电源系统,来增大输出电流和输出功率。

开关电源要实现并联就必须解决功率分配的问题,即均流技术的实现。

该系统每一条支路都可以等效为一个电压源和一个电流源的并联,总系统相当于两个恒流源的并联。

开关电源并联系统中最常用的方法有外部特性下垂法、主从电源法、按平均电流自动均流法,此外还有专门为并联均流设计的控制芯片,这些方法重点是提高并联系统的均流度,对于和负载一起组成的系统其输出电流控制基本属于开环状态,一旦负载发生变化,其输出总电流也会发生变化,不能满足恒流输出的要求。

为了满足输出电流在一定范围内可调并且能够提供人机交互界面,本电源并联系统中采用以单片机ATmega128为主控芯片的控制器,提高了可操作性。

为了提高反馈电路的信噪比,采用4个0.1欧/10W的取样电阻,两两串联再并联,来采集输出总电流的大小,转换成电压,送入单片机的AD,单片机根据采样回来的总电流进入不同的模式自动分配电流比。

连接电路如图1.4所示。

图1.4均流方案的实现

1.4测量电路的选择

由题意可知电压要测输入UIN、输出电压UO,电流要测输入电流IIN、输出电流IO、两路电流I1、I2,测量电压我们选择电阻分压后再经过单片机的A/D转换,即可得到电压值。

输入电流IIN、输出电流IO,利用专门的芯片侧电流芯片ACS712,利用霍尔效应把电流转化为电压,它们的关系是线性的,通过电压就可测得电流,两路电流I1、I2通过检测小电阻两端的电压来实现,通过,即可得到所测电流值。

二、系统理论分析

2.1总体方案的分析

题目要求直流输出电压一直保持在8V,而输出电流在变,负载电阻也在变,这样我们就能通过,调节电流使电流I符合题目要求,调节电阻,电压不变。

系统设计框图如图2.1所示,输入电压经DC/DC转换,输出经过两路恒流源电路。

两路电源并联为负载供电。

单片机控制系统的供电由DC/DC稳压输出线性电源提供5V的电源。

恒流源电路完成使输出电流稳定的功能。

单片机系统完成人机交互功能,用户通过键盘设定输出两路电流的比例,由MCU处理经DAC转换为控制电压,分别送入两路恒流源从而控制两路输出电流的大小。

同在LCD上显示系统的相关信息。

图2.1系统总体框图

2.2理论分析及计算

2.2.1DC/DC电路参数计算

1、开关频率的选择

考虑到单片机的效率和为减小开关管的损耗并得到可接受的高频噪声,经反复实验,选择开关频率为:

15k。

2、电感的选择

根据设计需求,主模块的占空比Dmax=8.4/24=0.35

由题目要求输出电流纹波绝对值不大于5%,取=10%

由,求的电感值为0.913.3mH,取3.3mH。

3、Buck电路电容的选择

可以确定与纹波有关的LC滤波器转交频率的位置:

其中,f为15k,=0.8,于是,1.82k。

根据,求得电容为C=1350uF,用两个1000uF的代替了。

三、电路与程序设计

3.1电路的设计

1、DC/DCBuck电路的设计

Buck降压电路由电感、电容、开关管、续流二极管组成,其参数如上面计算得到,其输出电压Uo=,拓扑结构为图1.1。

PWM信号由单片机产生,驱动芯片使用IR2110来驱动。

2、数控恒流源的设计

电路原理3.1所示,使用10位的D/A转换芯片TLC5615完成DA转换,DAC1024的分辨率充分满足电流精度为小于5%步进的要求。

DAC的输出控制运放U17和MOS管将电压转换为相应的电流值。

同时输出电流流经采样电阻0.1得到采样电压经运放U18放大21倍后反馈给运放U17,由此形成硬件闭环控制。

该闭环控制最终导致运放U17两端的电压相等,而TP3的电压值即为R20两端电压值经U5B放大后的值。

因而改变TP2电压值即可改变R20两端电压,从而控制流经RL的电流值。

采样电阻选择:

对电阻而言,减额因子:

S=实际功率/额定功率0.5,因此电阻的功耗I2R应尽量小,同时应避免温度过高引起阻值变化过大使得输出电流值产生偏差。

鉴于以上考虑,此电路选用0.1Ω/10W的电阻。

为避免采样电阻通过大电流时发热引起阻值变化影响输出电流,本电路将4个0.1Ω/10W的电阻两两并联后再串联接入电路,如此可提高电源输出电流稳定性。

;

图3.1电流源电路

3、均流部分设计

由于采用两路DC/DC供电系统,并且题目要求两路并联供电,则要求两路供电系统的协同工作,并且电流要均衡分配。

该系统的两路电流连接结构如图3.2所示,将负载接到MOS管的D极,这样做使S极采样不为支路电流了。

若将负载接到S极,如图3.2(a)所示则两路电流不均衡时可能会相互影响,不能稳定,除非采用电流传感器进行电流采样。

综合考虑本系统采用了如图3.2(b)所示的电路结构。

加上二极管可以实现将两路电流隔离,是在电流不均流的时候保护前端DC/DC稳压源。

图3.2开关电源并联

4、D/A转换的电路设计

我们选择8位电压型D/A芯片TLC5615,它的外围电路很简单,采用一路D/A控制两路并联的恒流源,可以达到的精度,此精度达到了题目要求的精度。

电路图为:

图3.3D/A转换模块

5、辅助电源子系统

辅助电源模块采用的是LM2576集成芯片实现+5V、+15V输出

图3.4为+5V的输出:

图3.4+5V供电电源

图3.5为可调输出:

图3.5可调电源

3.2程序的设计

1、程序功能描述与设计思路

进入恒流源功能模式后,单片机首先判断负载是否开路,若有开路情况,声光报警并文字提示;

若无开路则将所设置的电流值Iset送DAC,控制输出电流,然后检测负载电压,进行过压检测和保护。

将设置电流值Iset送DAC后,检测输出负载两端电压,过压将控制DC-DC中MOS关驱动信号PWM的信号,将MOS管断开,延迟0.5S,再次检测,恢复Iset值继续正常供电。

2、程序流程图

图3.6程序流程图

四、测试方案

4.1测试条件与仪器

测试仪器及设备如表4.1

仪器名称

用途

数量

计算机

调试程序

1

数字万用表

测试电压电流

5

毫伏表

测试波纹电压

4.2基本部分

1、额定输出功率下,供电系统的直流输出电压、效率

Uin

负载

输出电压

输出电流

效率

24V

2欧

8.03

4.2

75%

2、输出8V,输出总电流为1A,I1:

I2=1:

测试项目

测试条件

测试记录

备注

输出电流I=1A

Ui=24V

设置输出电流Io1(A)

实际输出电流Io2(A)

负载电阻为8Ω

0.5

0.495

0.500

电支路电流比例

1:

电压输出

Uo=8.03V

电流的相对误差绝对值1%

3、输出8V,输出总电流为1.5A,I1:

2时

输出电流I=1.5A

负载电阻为5.33Ω

I1=0.5A

0.505

I2=1A

1.02

1:

2

Uo=8.06

电流的相对误差绝对2%

4.3发挥部分

1、(Uin=)保持输出8V,负载电流在1.5A3.5A之间变化,输出电流在(0.52.0)范围内按指定的比例自动分配时

负载电流

输出电流比例(I1/I2)

输出电流1

输出电流2

3.00

2.67

8,02

0.5

0.88

1.69

4.00

1.99

8.02

0.69

1.35

5.00

1.62

8.01

0.56

1.09

8.07

1.0

1.34

1.39

8.03

2.0

1.56

0.89

0.67

0.55

1.08

2、保持输出8V,负载电流为4A,输出电流按1:

1自动分配时

负载电阻为2Ω

2.01

2.06

1:

8.12

3、自恢复功能

保护阈值电流为:

4.5A,通过控制PWM的输出来控制。

满足题目要求。

4.3测试分析与结论

经过对上述数据进行分析,

提高效率的方法有:

要想进一步提高本电源系统的效率可以采用

以下方式:

1.采用导通电阻更小的开关管(如:

IRF6718,其RDS=0.5mΩ)2.整流电路使用同步整流方式,可一步提高效率。

附录:

主要程序:

#include<

iom128v.h>

AVRdef.h>

STDIO.H>

STDLIB.H>

string.h>

math.h>

#include"

macro.h"

function.h"

voidmain(void)

{

inti=0;

intj=0;

charp[10]=

inttmp=12345;

doubletmp2=0;

doubleA=0;

doubleangel=0;

unsignedcharstr[100];

intduty=50;

intcurrent_1=0;

sys_init();

while

(1)

{

//--------------------------------------------------稳压

for(i=0;

i<

100;

i++)

{

if(((tmp=voltage_detection_out())<

1000))

{

duty+=1;

}

if((tmp=voltage_detection_out())>

950)

duty-=1;

//--------------------------------------------------分流

current_detection_1();

current_detection_2();

//--------------------------------------------------

da5615_1(512);

da5615_2(512);

//--------------------------

app_pwm0(duty);

app_pwm1(duty);

delay_ms(200);

}

}

11

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 高中教育 > 语文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2