四路多功能抢答器设计报告.docx

上传人:b****6 文档编号:8906980 上传时间:2023-05-16 格式:DOCX 页数:40 大小:1.30MB
下载 相关 举报
四路多功能抢答器设计报告.docx_第1页
第1页 / 共40页
四路多功能抢答器设计报告.docx_第2页
第2页 / 共40页
四路多功能抢答器设计报告.docx_第3页
第3页 / 共40页
四路多功能抢答器设计报告.docx_第4页
第4页 / 共40页
四路多功能抢答器设计报告.docx_第5页
第5页 / 共40页
四路多功能抢答器设计报告.docx_第6页
第6页 / 共40页
四路多功能抢答器设计报告.docx_第7页
第7页 / 共40页
四路多功能抢答器设计报告.docx_第8页
第8页 / 共40页
四路多功能抢答器设计报告.docx_第9页
第9页 / 共40页
四路多功能抢答器设计报告.docx_第10页
第10页 / 共40页
四路多功能抢答器设计报告.docx_第11页
第11页 / 共40页
四路多功能抢答器设计报告.docx_第12页
第12页 / 共40页
四路多功能抢答器设计报告.docx_第13页
第13页 / 共40页
四路多功能抢答器设计报告.docx_第14页
第14页 / 共40页
四路多功能抢答器设计报告.docx_第15页
第15页 / 共40页
四路多功能抢答器设计报告.docx_第16页
第16页 / 共40页
四路多功能抢答器设计报告.docx_第17页
第17页 / 共40页
四路多功能抢答器设计报告.docx_第18页
第18页 / 共40页
四路多功能抢答器设计报告.docx_第19页
第19页 / 共40页
四路多功能抢答器设计报告.docx_第20页
第20页 / 共40页
亲,该文档总共40页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

四路多功能抢答器设计报告.docx

《四路多功能抢答器设计报告.docx》由会员分享,可在线阅读,更多相关《四路多功能抢答器设计报告.docx(40页珍藏版)》请在冰点文库上搜索。

四路多功能抢答器设计报告.docx

四路多功能抢答器设计报告

电子综合实习设计报告

多功能抢答器的设计

指导教师:

学生:

学院专业:

通信工程学院

班级:

设计日期:

2013.6.15—2013.7.5

重庆大学通信工程学院

第一章课题背景及设计目的........................3

1.1课题背景.................................3

1.2设计目的.................................3

第2章课题要求...................................4

2.1基本要求.................................4

2.2提高要求.................................5

第3章总体设计框图..............................6

3.1硬件原理图...............................6

3.2软件流程图...............................6

第4章方案设计讨论及元件选取依据.................8

4.1方案设计简述............................8

4.1.1显示模块...........................8

4.1.2按键模块...........................9

4.1.3语音模块...........................9

4.2元器件选择..............................10

第5章系统各模块设计分析........................11

5.1功能模块..................................11

5.2数码管显示模块...........................15

5.3LCD显示模块..............................15

5.4语音模块.................................16

5.5抢答模块.................................17

5.6键盘模块.................................18

5.7顶层逻辑电路..............................18

第6章总结.......................................19

参考文献..........................................21

附录..............................................21

附件一:

元器件清单................................21

附件二:

硬件原理图.................................22

附件三:

实物图....................................22

附件四:

程序清单..................................23

 

第1章课题背景及设计目的

1.1课题背景

抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,广泛应用于各种知识竞赛、文娱活动等场合。

在各类竞赛中,特别是做抢答题时,在抢答过程中,为了知道哪一组或哪一名选手先答题,必须要有一个系统来完成这个任务。

抢答过程中,只靠人的视觉是很难判断出哪组先答题。

利用FPGA数字电路来设计抢答器,则可轻松解决这个问题。

能够实现抢答器功能的方式有多种,可以采用模拟电路、数字电路或模拟与数字电路相结合的方式。

早期的抢答器只由几个三极管、可控硅、发光管等组成,能通过发光管的指示辨认出选手号码。

现在大多数抢答器均使用数字集成电路,并增加了许多新功能,如选手号码显示、抢按前或抢按后的计时、选手得分显示功能。

像这类抢答器,制作过程简单,准确性与可靠性高,而且安装维护简单。

随着电子技术的发展,现在的抢答器功能越来越强,可靠性和准确性也越来越高。

1.2设计目的

1)通过本次电子综合设计,掌握数字电路系统的设计方法,

2)进一步学会如何使用数字电路实现现实的电路系统;

3)学会如何运用硬件描述语言VHDL驱动数字硬件电路的工作,实现软硬件连调,以达到软硬件协同工作的目的;

4)加强自主动手制作硬件以及编程实现所需现实功能的能力。

第2章课题要求

设计并制作符合以下要求的多功能抢答器,并撰写分析设计报告。

2.1基本要求:

1)抢答器可容纳四组4位选手,每组设置一个抢答按钮供选手使用;

2)电路具有第一抢答信号的鉴别和锁存功能。

在主持人将系统复位并按开始键发出抢答指令后,语音芯片提示抢答开始,倒计时显示器显示抢答初始时间并开始倒计时,若参赛选手按抢答按钮,则该组抢答指示绿灯亮,语音芯片提示回答开始,倒计时显示器显示回答初始时间并开始倒计时,当时间小于5秒时开始报警。

此时,电路具备自锁功能,即按下它后,再反复按动该组按钮,不影响绿灯显示。

具有互锁功能,即某组抢答成功后,能自动封锁其他组的抢答信号;

3)如果无人抢答,计时器倒计时到零,语音芯片提示抢答失败,主持人可以按开始键,开始新一轮的抢答;

4)设置犯规功能。

选手在主持人按开始键之前抢答,则认为犯规,犯规指示相应组绿灯闪烁,且语音芯片提示犯规,主持人可以终止抢答执行相应惩罚,比如减一分。

主持人按开始键,开始新一轮的抢答;

5)当抢答有效后,主持人可以根据选手的回答正确与否对选手相应组数进行加一和减一的操作,初始成绩为5。

主持人按开始键,开始新一轮的抢答。

2.2提高要求:

1)显示抢答题题目;

2)语音播报回答正确与否;

3)自动加减选手分数。

主要技术指标:

1)使用LCD实现实验中所有显示;

2)用语音芯片7960语音播报。

 

第3章总体设计框图

3.1硬件原理图

本次设计中使用了LB1板子上的资源有:

两个按键(KEY1实现复位,KEY3实现小键盘清零),四个拨码开关(组合实现LCD显示内容),两个数码管(用来显示抢答倒计时和答题倒计时),蜂鸣器(当倒计时即将结束时发出蜂鸣声)。

另外焊接了四个绿色LED灯,显示四名选手,LCD显示分数、题目、答案等。

板上按键按下跳为低电平,不用时为高电平。

供给蜂鸣器高电平时蜂鸣器蜂鸣,不用时给高阻态。

数码管为共阴数码管。

原理图如下所示:

3.2软件流程图

软件设计设计流程图如下所示:

第4章方案设计讨论及元件选取依据

4.1方案设计的简述

在经过课题分析,确定了系统框图后,还需要确定具体的硬件电路,以便根据确定的硬件电路进行软件设计。

而在软件实现方面,也需要结合具体的硬件电路,选择最优化组合,才能使得编程简单,系统调试方便,出问题也容易发现。

整个电路系统分为七个子模块:

最小系统模块、LCD显示模块、语音模块、响铃蜂鸣器模块、功能按键模块。

最小系统模块、响铃蜂鸣器模块都已经有很多经典的范例供参考,可以很容易确定下来;方案选择的最关键是显示模块、功能按键模块和语音模块,它不仅关系着软件实现的难以与成败,还对系统调试有着重大影响。

4.1.1显示模块

显示模块液晶显示屏LCD进行显示,LCD显示功能要比数码管灵活,有更强的指示功能,并且排线简单,最终决定采用液晶显示屏使得抢答器的功能更丰富。

利用LCD7920液晶显示器进行显示。

该液晶显示器采用点阵的方式进行显示,由各个点阵点亮或者不点亮来共同显示需要显示的内容。

因为该显示器的各个点阵位之间有间隔,用来区分行和字符,但是却无法用来显示图像。

LCD7920是指在屏幕上的显示为四行,每行八个字符。

这个方案的优点在于LCD7920的驱动电路具有很多种的控制指令,使用便捷,可以很方便的控制液晶实现多种显示功能,光标的左移右移、清屏等,更突出的优点是能显示汉字。

4.1.2按键模块

系统的各个功能的切换,是通过功能按键实现的。

按键电路要考虑去抖动的问题。

按键电路去抖动的实现方式有硬件实现与软件实现两种方式。

根据设计原则,应该尽量降低成本和硬件电路的复杂性,提高对单片机的功能利用率。

因而,在设计中采用软件去抖动方式。

4.1.3语音模块

在语音模块,蜂鸣器虽然可以起到简单提示功能,但是不够人性化地起到提示作用,所以经过分析采用ISD1760语音芯片,通过语音提醒来精准的提示选手回答正确与否,实现更人性化的语音播报,提高多功能抢答器的功能实现。

ISD1760为美国ISD公司出品的优质单片录放电路,由振荡器、语音存储单元、前置放大器、自动增益控制电路、抗干扰滤波器、输出放大器组成。

一个最小的录放系统仅由一个麦克风、一个喇叭、两个按钮、一个电源、少数电阻电容组成。

录音内容存入存储单元,提供零功率信息存储,这个独一无二的方法是借助于美国ISD公司的专利—直接模拟存储技术(DASTTM)实现的。

利用它,语音和音频信号被直接存储,以其原本的模拟形式进入EEPROM.直接模拟存储允许使用一种单片固体电路方法完成其原本语音的再现。

不仅语音质量优质,而且断电语音保护。

4.2元器件选择

由于可以通过软件靠一片单片机就能实现对抢答器的各种功能的控制,故单片机的外部,只需要接显示模块;语音模块;功能按键模块;语音模块。

复位电路有上电复位和按键复位电路,在此选择按键复位电路。

显示模块只需要选择一个适当大小的LCD可以实现功能即可,所以我们选择12864的LCD。

选用了按键开关,按下为低电平,因此需要10K的电阻作为上拉电阻。

为LED选用1K的下拉电阻。

对于语音模块的选择,我们选择的是常见的语音芯片ISD1760,原因有以下几点:

1)使用方便的单片录放系统,外部元件最少,可以减小外围电路设计的难度;

2)重现优质原声,没有常见的背景杂音。

音效有保证,也是我们选择它的原因之一;

3)放音可由边沿或者电平触发,有利于程序的设计;

4)无耗电信息存储,省掉备用电池;

5)信息可保存100年,录放10万次,保证了信息的存储性。

6)无需专用编程或开发系统;

7)单一5V电源供电,减少了电路设计的困难。

综上所述,ISD1760是我们最理想的语音芯片的选择。

第5章系统各模块设计分析

多功能抢答器的功能模块分为数码管显示模块、LCD显示模块、语音模块、功能按键模块,以下我们分别阐述各个模块的原理。

5.1功能模块

除了数码管显示模块,LCD显示模块,语音模块,抢答模块和键盘模块等主要的功能模块之外,还有分频模块,选择模块,计分模块,倒计时模块,LCD转换模块,报时模块等功能模块。

(1)分频模块

分频模块将核心板提供的50MHz频率根据需要分为2KHz、1KHz、100Hz、5Hz、1Hz等不同的频率,为其他功能模块提供必要的时钟。

 

仿真波形如下:

(2)选择模块

选择模块是用来选择数码管要现实的内容,当按下ready时应选择显示抢答倒计时,而当有人抢答到准备答题时,则应该显示答题倒计时。

仿真波形如下:

(3)计分模块

计分模块完成四位选手的加分减分功能,初始情况下,每位选手的分数为5分,当回答正确,即add=0时,加一分。

回答错误,即sub=0时,减一分。

当抢答犯规或者答题时间到都自动减一分。

最先获得10分的选手为本轮的胜出者,相反当分数减为0时,该选手则被淘汰。

仿真波形如下:

(4)倒计时模块

倒计时模块完成系统的倒计时功能。

当比赛开始后,系统开始抢答倒计时5S,直到计数为零或有效抢答则停止并回到初值5S,直到下一轮开始。

第一个模块完成抢答时间倒计时,第二个你看完成回答时间倒计时。

仿真波形如下:

 

(5)LCD转换模块

LCD转换模块用于将每位选手的分数,抢答到选手号以及最终的获胜选手号转换为16位内码,供LCD的显示。

共有三个模块,分别为lcd_fenshu、winner和qiangdao,转换完成后直接将输出与LCD模块相连作为输入。

其中qiangdao模块的仿真波形如下:

(6)报时模块

报时模块是利用核心板上的蜂鸣器,在抢答倒计时发出响声,以提醒选手。

在5s—1s时蜂鸣器选用500Hz的频率,当倒计时为0s时,蜂鸣器选用1000Hz,提高频率,提示选手可以开始抢答。

仿真波形如下:

5.2数码管显示模块

采用共阴极的七段数码管显示抢答倒计时以及答题倒计时。

本次设计直接选用LB1核心板上的数码管。

通过计数模块,段码转换模块等进行显示。

通过动态扫描同时显示几位LED。

led_sa,led_sb,led_sc,led_sd为位选码,控制核心板上的数码管来显示抢答倒计时和答题倒计时,led_a到led_g是段选。

5.3LCD显示模块

采用有背光效果的LCD7920作为显示器,可以清晰直观显示所要表示内容。

点阵图形式液晶由M×N个显示单元组成,假设LCD显示屏有64行,每行有128列,每8列对应1字节的8位,即每行由16字节,共16×8=128个点组成,屏上64×16个显示单元与显示RAM区1024字节相对应,每一字节的内容和显示屏上相应位置的亮暗对应。

LCD1602内建有160个5×7点阵的字型的字符发生器CGROM。

为了更好的达到使用者需求,我们设置LCD的2、3管脚以及15、16管脚分别采用一个10K的电位器控制,一个用于LCD的显示对比度调节,另一个用于LCD背光亮度的调节。

5.4语音模块

该芯片适用于多种系统,录音时间可选范围为20s到480s。

通过外部电阻设置采样频率,从4KHz到12KHz,使录音时间长短可根据应用来平衡。

工作电压范围从2.4V到5.5V,因此可用电池供电,使其应用范围更加广泛。

ISD1760支持独立模式或SPI模式。

为了便于美观和实用,我们通过录音电路将报时音频录入ISD1760,在接入放音电路使单片机通过SPI模式进行控制,主控单片机主要通过四线(SCLK,MOSI,MISO,SS)SPI协议对ISD1760进行串行通信。

ISD1700作为从机,几乎所有的操作都可以通过这个SPI协议来完成。

为了兼容独立按键模式,一些SPI命令:

PLAY、REC、ERASE、FWD、RESET和GLOBAL_ERASE的运行类似于相应的独立按键模式的操作。

另外,的运行类似于相应的独立按键模式的操作。

另外,SET_PLAY,SET_REC,SET_ERASE命令允许用户指定录音、放音和擦除的开始和结束地址。

此外,还有一些命令可以访问命令允许用户指定录音、放音和擦除的开始和结束地址。

此外,还有一些命令可以访问APC寄存器,用来设置芯片模拟输入的方式。

5.5抢答模块

该模块实现选手抢答的判定及对应输出该组的信息,并输出led灯的控制信号。

具体功能为,当start=0时,开始本轮抢答。

当ready=0时,开始5秒倒计时,倒计时结束前抢答算犯规,选手前面的灯闪烁并失去本轮抢答资格。

当倒计时结束时方可抢答,当有一人抢到,其他人不能再抢,最先抢到的选手前的灯常亮。

仿真波形如下:

5.6键盘模块

当从设备向主设备发送数据时,首先会检查时钟线,以确认时钟线是否是高电平。

如果是高电平,从设备就可以开始传输数据;否则,从设备要等待获得总线的控制权,才能开始传输数据。

传输的每一帧由11位组成,发送时序及每一位的含义。

从设备到主设备的通信每一帧数据中开始位总是为0,数据校验采用奇校验方式,停止位始终为1。

从设备到主设备通信时,从设备总是在时钟线为高时改变数据线状态,主设备在时钟下降沿读入数据线状态。

5.7顶层逻辑电路

第六章总结

本次课程设计采用的是电子设计比赛的形式,老师给出了命题,学生进行组队合作完成硬件电路设计,软件程序设计以及创新型设计(包括设计总体方案,硬件电路图的绘制,电路的焊接,下载程序,调试,脱机调试等工作)。

动手和团队协作要求性强,同时也学到了很多理论课上不能学到的一些东西。

本次课程设计进入了收尾阶段,我们小组四人共同努力奋斗,充实地度过了这忙碌的三周。

实践,是检验真理的唯一标准。

经过这艰苦的三周,我们深刻体会到了这句话的真谛。

理论知识很了解很扎实,但是一动起手来了就不知所措了。

通过这次课程设计使我们更加懂得并亲身体会到了理论与实际相结合的重要性,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从实践中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到很多的问题,比如,在功能调试的环节上,出现了硬件在功能转换的环节上会发生死机崩溃的情况。

我们重新理清了思路,从另外一个角度来完美解决了这一问题。

脱机调试的过程中,遇到的最严重的问题是语音芯片不能正常工作。

耗费了我们将近3天的时间来检查整个电路的问题所在。

不管是晶振、供电、通断、虚焊等,都有可能导致时钟电路的不工作。

由于第一个电路板焊接不仔细,我们不得不又焊接了一个电路才能实现了在此计时。

因此在设计过程当中发现了自己的一些不足之处,发现自己对之前所学过的知识理论理解得不够深刻,掌握的不够牢靠,有待加强。

我们小组成员一直坚信一个真理,汗水预示着结果但是也见证着收获,劳动是永恒不变的话题,通过实际的操作,我们才真正理解到了“坚持不懈”这一词的真正含义。

虽然课程设计有些辛苦,但是我们苦中作乐。

在面对困难一筹莫展的愁眉苦脸,再到解决问题后的柳

暗花明幸福感,这些滋味可能只有我们自己能够体会到。

在这过程中,我们有说有笑,相互帮助,配合默契,多少欢乐与汗水在这挥洒。

过程虽然有些苦痛。

我们是一个团队,团队需要个人,个人也离不开团队,必须要发扬团队协作的精神,某个人的失职可能导致整项工作

的失败。

实训中只有一个人知道原理是远远不够的,必须让每个人知道,否则一个人的错误,就有可能导致整个设计的失败,团结协作是我们课程设计成功的重要保证。

当我们完成整个设计的时候,为我们这个团队感到非常的自豪与骄傲。

尤其对于编程的沈宪伟同学,是我们这组最辛苦的,也是付出最多的,他的任劳任怨让我们一个人都十分感动。

除了我们团队的自身努力,老师的引导与帮助也是不可或缺的。

老师为我们安排此次课程设计的基本目的,在于通过理论与实际的结合、人与人之间的沟通,进一步提高思想觉悟,尤其是观察、分析和解决问题的实际工作能力,以便培养成能够主动适应社会主义现代化建设需要的高素质人才。

老师为我们的设计电路图查漏补缺,指出我们自己设计电路图的一些错误,。

在我们缺少元件器材的时候,老师主动给我们提供器材。

在最后进行调试的时候,老师也指出了一些微小的错误以改进我们整个的设计。

在完成整个设计后,我们在高兴之余,也非常感激老师对我们的帮助与培养。

总之,在这次课程设计当中,我们小组收获很大,不仅知道了实践的重要意义,也感受到了团结就是力量这一伟大真理的正确性,而且,在这次设计当中,我们增强了我们的动手以及思

考能力,也增进了我们小组成员之间的友谊。

我们期待下一次的这种类型的课程设计,不断提高自身的能力。

参考文献

[1]曾婷,万星宇.ISD1700系列语音芯片原理与应用设计[J].价值工程,2011

[2]潘松,黄继业. EDA技术实用教程.

附录

附件一:

元器件清单

元器件名称

数量

元器件名称

数量

按键开关

11(个)

排针(20*2)

1(个)

LED灯(绿色)

4(个)

LED灯(红色)

1(个)

10K电阻

11(个)

麦克风

1(个)

1K电阻

5(个)

喇叭

1(个)

4.7K电阻

3(个)

LCD(12864)

1(块)

4.7uF电解电容

6(个)

ISD1760语音芯片

1(块)

0.1uF电容

6(个)

实验板

1(块)

附件二:

硬件原理图图

附件三:

实物图

附件四:

程序清单

1、分频器程序:

libraryieee;

useIEEE.STD_LOGIC_1164.ALL;

ENTITYDIVIS

PORT(CLK_50MHz:

INSTD_LOGIC;

CLK_1KHZ:

BUFFERSTD_LOGIC;

CLK_5HZ:

OUTSTD_LOGIC;

CLK_1HZ:

OUTSTD_LOGIC;

CLK_2Hz:

OUTSTD_LOGIC

);

END;

ARCHITECTUREBEHAVOFDIVIS

SIGNALQ1K,Q5,Q1,Q2:

STD_LOGIC;

SIGNALCOUNT1:

INTEGERRANGE49DOWNTO0;

SIGNALCOUNT2:

INTEGERRANGE499DOWNTO0;

SIGNALCOUNT3:

INTEGERRANGE1DOWNTO0;

SIGNALCOUNT4:

INTEGERRANGE9DOWNTO0;

BEGIN

PROCESS(Q1M,Q2K)

BEGIN

IFQ1M'EVENTANDQ1M='1'THEN

IFCOUNT2=499THEN

COUNT2<=0;

Q2K<='1';

ELSE

COUNT2<=COUNT2+1;

Q2K<='0';

ENDIF;

ENDIF;

ENDPROCESS;

PROCESS(Q2K,Q1K)

BEGIN

IFQ2K'EVENTANDQ2K='1'THEN

IFCOUNT3=1THEN

COUNT3<=0;

Q1K<='1';

ELSE

COUNT3<=COUNT3+1;

Q1K<='0';

ENDIF;

ENDIF;

ENDPROCESS;

CLK_2KHZ<=Q2K;

CLK_1KHZ<=Q1K;

CLK_100HZ<=Q100;

CLK_1HZ<=Q1;

CLK_2Hz<=Q2;

ENDBEHAV;

2、抢答模块主要程序:

libraryieee;

useieee.std_logic_1164.all;

useieee.std_logic_arith.all;

useieee.std_logic_unsigned.all;

entityqiangdais

port(clk:

instd_logic;

start,ready:

instd_logic;

jishi:

instd_logic_vector(3downto0);

p,p1,p2,p3,p4:

instd_logic_vector(3downto0);

reset:

instd_logic;

q:

outstd_logic_vector(3downto0);

fq:

o

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 初中教育 > 语文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2