温度测量与控制电路.docx

上传人:b****0 文档编号:8937690 上传时间:2023-05-16 格式:DOCX 页数:25 大小:1.02MB
下载 相关 举报
温度测量与控制电路.docx_第1页
第1页 / 共25页
温度测量与控制电路.docx_第2页
第2页 / 共25页
温度测量与控制电路.docx_第3页
第3页 / 共25页
温度测量与控制电路.docx_第4页
第4页 / 共25页
温度测量与控制电路.docx_第5页
第5页 / 共25页
温度测量与控制电路.docx_第6页
第6页 / 共25页
温度测量与控制电路.docx_第7页
第7页 / 共25页
温度测量与控制电路.docx_第8页
第8页 / 共25页
温度测量与控制电路.docx_第9页
第9页 / 共25页
温度测量与控制电路.docx_第10页
第10页 / 共25页
温度测量与控制电路.docx_第11页
第11页 / 共25页
温度测量与控制电路.docx_第12页
第12页 / 共25页
温度测量与控制电路.docx_第13页
第13页 / 共25页
温度测量与控制电路.docx_第14页
第14页 / 共25页
温度测量与控制电路.docx_第15页
第15页 / 共25页
温度测量与控制电路.docx_第16页
第16页 / 共25页
温度测量与控制电路.docx_第17页
第17页 / 共25页
温度测量与控制电路.docx_第18页
第18页 / 共25页
温度测量与控制电路.docx_第19页
第19页 / 共25页
温度测量与控制电路.docx_第20页
第20页 / 共25页
亲,该文档总共25页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

温度测量与控制电路.docx

《温度测量与控制电路.docx》由会员分享,可在线阅读,更多相关《温度测量与控制电路.docx(25页珍藏版)》请在冰点文库上搜索。

温度测量与控制电路.docx

温度测量与控制电路

温度测量与控制电路

摘要

温度是一个与人们生活和生产密切相关的重要物理量。

温度的测量和控制技术应用十分广泛。

在工农业生产和科学研究中,经常需要对某一系统的温度进行测量,并能自动的控制、调节该系统的温度。

本设计主要结合模拟电子技术和数字电子技术的基本知识来实现温度测量与控制,温度测量电路运用铂热电阻温度传感器,控制电路是通过两个电压比较电路来实现,声光报警装置采用LED和蜂鸣器构成。

工作原理主要是利用温度传感器把系统的温度通过A\D转换电路将电信号转换成数字信号,并通过与之连接的译码电路中显示出来,译码显示部分应用有内置译码器的四输入数码管完成,而8位二进制数到8421BCD码的转换由74185来实现。

同时电压信号通过电压比较器与输入电压比较决定输出是高电平或是低电平,进而控制下一个电路单元的工作状态。

调温控制电路中,测量温度大于设定温度时,控制电路接通降温设备对其降温,测量温度小于设定温度时,控制电路接通加热设备对其加热。

报警系统是将测量温度与上下限温度通过电压比较器比较。

关键字

温度传感器差动放大电路二阶低通有源滤波器A/D转换电压比较器控制温度声光报警

设计要求

1.测量温度范围为20℃~165℃,精度

0.50℃;

2.被测量温度与控制温度均可数字显示;

3.控制温度连续可调;

4.温度超过设定值时,产生声光报警。

第一章系统概述

1.方案比较

方案一:

系统方框图如图1所示,温度传感器测量被测量的温度,转换成电压信号后经过滤波消除干扰信号,放大电路将所测信号幅度与后续电路的工作范围做一匹配,所得有用信号经过A/D转换专职转换成数字信号。

此数字信号经三条路径:

其一,进入超限报警装置与所设定的温度范围进行比较,若超限则发出声光报警;其二,经过码制转换后进入数码管显示当前所测温度;其三,进入数字比较器与输入的控制温度进行比较,产生温度控制机构的工作信号,同时显示输入的控制温度。

此系统可以对被测体的温度进行实时跟踪测量,并进行有效控制,总体上实现了温度的测量与控制。

 

图1

方案二:

系统方框图如图2所示,温度传感器用来测量被测体的实时温度并转换成电压信号,该电压信号经过滤波放大电路,成为有用信号分两路进入后续电路:

一路进入A/D转换电路将其转换成数字信号显示;电压信号的另一路进入电压比较器,与输入控制温度电压信号进行比较,比较结果信号将驱动温度控制装置工作,对被测体的温度进行实时控制,电压比较器的比较结果将决定是否发出声光报警。

此方案是将测量温度与输入控制温度转换成电压信号进行比较,从而实现了温度的控制。

 

图2

2、方案论证与选择

方案一是将所有的信号都转换成数字信号处理,只用了一步A/D转换,而且系统的主要处理部件均采用数字式的元器件,从而使信号的模式与之匹配,对于信号处理的精度就有了保证。

但由于其上、下限温度限定电路复杂,远没有模拟信号设定轻松,故舍之。

方案二符合要求中控制温度与测量温度均可显示,利用单刀双掷开关经济有效的解决了这一问题。

控制电路中以模拟信号为主,实现起来简单且准确。

综上所述,鉴于两个方案的优缺点,总体上比较后选择方案二作为我们此次温度测量与控制电路的设计方案。

第二章单元电路设计与分析

1、测温电路

测温电路是由传感器电桥、差动输入放大器、二阶低通滤波器组成的。

大多数金属电阻当温度上升时,其电阻增大,电阻率温度系数一般为0.4%~0.6%,电阻与温度的关系一般可以表示为:

Rt=Rto[1+α(t-t0)]

式中,Rt为t℃时的电阻值;Rto为温度为0℃时的电阻值;α为电阻率温度系数。

一般金属材料的电阻与温度关系为非线性的,故电阻率温度系数也随温度而变化。

做温度测量的金属电阻要具有一定的灵敏度、温度测量范围、重复性、稳定性和线性,因此,用作测量温度的金属材料必须满足一下条件:

a、电阻温度系数大。

其定义为:

温度变化1℃时的电阻的相对变化值。

电阻温度系数越大,测量灵敏度越高。

b、电阻率大。

电阻率大可使电阻体积做得小些,减小热惯性。

c、在测温范围内,物理、化学性质稳定。

d、电阻与温度的关系要接近线性,以便于分度和读数。

e、重复性好、复制性强,便于批量生产和互换。

f、价格便宜。

常见铂测温电阻的标称电阻值为100Ω,温度系数是3850×10-6/℃。

采用阻值为Rt=100Ω的铂金属热电阻为传感器,它有较高的测量精度,并且在较大的温度范围内有很好的线性,测温范围可达—250℃~+640℃。

(1)传感器电桥

铂热电阻测温的一个问题是其自身的电阻值小,一般为100Ω左右,新产品的电阻值最大也只有lkΩ左右,所以传感器到测量电桥的连线较长时,引线电阻就会带来测量误差。

为了减小引线电阻对测量带来的影响,实现高精度的温度测量,该系统用了3线式连线,即热电阻有3根引线接到电器箱,其中两根线接测量电桥,一根线接地。

如图3,R1,R2,R13,RT组成测温电桥,RT为温度传感器。

其呈现出的阻值与温度呈线性关系。

由计算得测温电桥的输出电压为ΔU=UA-UB。

图3

(2)差动输入放大器,如图4

图4

(3)二阶有源低通滤波器

放大若信号后,干扰和噪声的影响是不能忽视的,干扰信号通过电源线耦合或传输线间的电容耦合到电路中,在电路中加上滤波电路是排除干扰信号常用的方法之一。

本设计中所获得的信号一般都是低频信号,因此我们用低通滤波器排除干扰信号,进一步提高测量精度。

我们所测的信号频率非常小,所以设置fc=50Hz,尽可能彻底的滤除干扰信号。

此二阶有源低通滤波器是由两节RC滤波器和同相比例电路放大器组成,其有输入阻抗高,输出阻抗低的特点。

电路如图5

图5

2、A/D转换器

A/D转化器是由集成芯片ADC16,再结合两片74LS283构成。

该方案工作原理是先将模拟量转换成9位二进制数,再将最低一位和前八位相加这样就可以将测量精度提高到±0.5℃。

图6

如图6所示,滤波放大信号的输出作为A/D转换的模拟量输入,进入引脚20VIN,引脚D0~D9作为数字信号输出,当电路图如此连接后就可以实现模数转换功能,当经过滤波放大的电压信号输入时,经过转换就可以输出9位二进制的数字信号。

将这9位数字信号的高8位与最低一位相加,从而将转换精度提高。

3、8位二进制~8421BCD码转换电路:

本次设计中,当电压信号经过A/D转换后变成了8位二进制的数字信号,而后续电路需要的是8421BCD码,所以需要进行码制转换。

我们选用集成芯片74185来实现这个功能。

如图7

图7

4、显示电路

七输入数码管,即七段显示数码管,这种数码管有共阴极和共阳极之分。

七段数码显示器是于发光二极管组成的,如图8,用来显示特定的的显示器。

7段数码管发光二极管使用灵活,简单方便,当有电流通过时,相应的发光二极管就点亮;当电流消失没有电流时,发光二极管就灭。

同样,共阳极LED显示器就是将所有发光二极管的阳极接到一起,接到电源正极。

这样,当某个发光二极管的阴极加有低电平,该发光二极管即被点亮。

出于节约成本的需要,我们采用了单刀双掷开关,由开关控制对测量温度还是控制温度的显示。

 

应用此种数码管时,必须前置译码电路,选用74LS247实现其功能,其与数码管的连接见图9。

图9

5、电压比较器

电压比较器是用来比较两个输入电压的大小,据此决定其输出是高电平还是低电平。

以图1所示的同相电压比较器电路为例,参考电压Vref加于运放的反相端,Vref可以是正值或负值。

而输入信号Vi加于运放的同相端。

Vi

图10图11

反之当Vi从反向端输入时,Vref改接到同相端,则称为反相端输入单限门电压比较器。

6、温度设置电路

由于通过温度传感器测得温度后,将温度值转化为电压值,因此,利用电压值之间的大小关系就可以控制温度的大小。

我们调节温度是将其转化为电压的形式,通过改变电压值来实现控制温度与被测温度的比较。

所以,就要求控制温度电路中,其温度-电压之间的关系与测量电路中的一致。

并且,我们利用LM741CH电压比较器来完成控制电路的核心控制,由于比较器最小输入电压差为40mV,而温度测量中输出电压精度在5mV,因此需要加大电阻以提高电压值,以实现两个电压的正常比较。

控制电路如图12:

 

图12

温度控制选择可通过电位器W2来实现.通过调节W2可使其中间头的电压在0——1.65V之间的范围内变换,对应的控制温度范围为0——165℃,完全可以满足一般的加热需要。

将开关K打在2的位置,电位器W2中间头的电压经过电压跟随器A后送到数显表头输入端来显示控制温度数值。

调节电位器W2,数显表头所显示的数值随之变化,所显示的温度数值即为控制温度值.电位器W1为预控温度调节,其电压调节范围为0——0.27V,对应可调节温度范围为0——27℃.此电位器调整后,其中间头的电压与电位器W2中间头的电压分别送入比较放大器B(放大倍数为1)的反相及同相输入端,B输出端的电压为二输入电压之差.此电压对应两个设定的温度值之差.例如将W1调至0.10V,对应温度10℃;将W调至O.80V,对应温度80℃。

B的输出电压为0.70V,表示温度70℃。

此电压与集成温度传感器输出的电压送到电压比较器C中进行电压比较。

当温度传感器输出的电压小于B的输出电压时,C输出高电平。

当温度传感器输出的电压大于B的输出电压而小于A的输出电压时,表明实际温度已接近控制温度,C输出低电平,电压比较器D输出高电平。

当实际温度上升到80℃以上时,温度传感器的输出电压大于0.80V,电压比较器D输出低电平。

7、控制电路

当温度传感器输出的电压大于B的输出电压而小于A的输出电压时,表明实际温度已接近控制温度,C输出低电平,电压比较器D输出高电平。

当实际温度上升到80℃以上时,当温度传感器输出的电压小于B的输出电压时,C输出高电平,可控硅D2因获得偏流一直导通,交流220V直接加在电热元件两端,进行大功率快速加热. 当温度传感器输出的电压大于B的输出电压而小于A的输出电压时,表明实际温度已接近控制温度,C输出低电平,可控硅D2因无偏流处于截止状态,电压比较器D输出高电平,可控硅D3仍处于导通状态,交流220V需要通过二极管D2加在电热元件两端,进行小功率慢速加热。

当实际温度上升到80℃以上时,温度传感器的输出电压大于0.80V,电压比较器D输出低电平,可控硅D2也截止,电热元件断电。

由于此时加热功率较小,加上散热作用,温度不会大幅度上升,其实际温度在控制温度左右一个很小范围内波动,当实际温度低于设置温度时,制冷原理亦然。

这样就实现了温度的较高精度的自动控制。

 

 

图13

8、报警系统

该报警装置如图14所示,由两个LM741CH构成一个窗口电压比较器。

当U测<U下时,运放U2输出低电平,运放U1输出高电平;当U测>U上时,运放U1输出低电平,运放U2输出高电平。

经过74LS32D或门后输出始终为高电平,是声光报警发挥作用。

当U下<U测<U上时,U1、U2均输出低电平,声光报警器不作用。

图14

双显双限温度报警器介绍:

双显双限温度报警器介绍可以在待测物的温度高于或低于所控温度范围(20℃~165℃)时自动报警,发出不同指示,以便及时调整源,达到双限温度控制的目的。

经运用所学电子技术知识及搜集资料,可知双显双限温度比较器的实现方案有如下三种:

方案一:

图15、基于非门的双限温度报警电路

将74LS04D拆分,得分立元件图,如图16所示:

图16、基于非门的双限温度报警电路分立元件图

1、电路组成

该双限温度报警器电路由温度检测/指示电路和报警电路组成,如图16所示:

温度检测/指示电路包括:

热敏电阻器RT、电位器RPl、RP2、电阻器R1~R4、晶体管Vl、V2、发光二极管VLl、VL2和非门集成电路IC(Dl~D2);

报警电路包括:

IC内部的D3~D6、电阻器R4、R5、电容器Cl、C2、二极管VD1、VD2和蜂鸣器HA组成。

其中D3、D4和R4、Cl组成lHz超低频振荡器,D5、D6和R5、C2组成lkHz音频振荡器。

2、工作原理

RP1用来设定温度的上限值,RP2用来设定温度的下限值。

在受监控处温度在设定的温度范围内时,Dl输出高电平,D2输出低电平,Vl和V2均截止,VLl和VL2均不发光,VDl处于导通状态,lH超低频振荡器和lkHz音频振荡器不振荡,HA不发声。

当温度超过设定温度的上限值时,RT的阻值减小,RPl中点电位上升,使Dl输出低电平,Vl导通,VLl点亮,指示温度超过上限;同时VDl截止,lHz超低频振荡器和lkHz音频振荡器振荡工作,lHz超低频信号对lkHz音频振荡器进行调制,使HA发出断续的报警声。

当温度低于设定温度的下限值时,RT的阻值增大,使RP2的中点电位下降,D2输出高电平,V2导通,VL2点亮,指示温度低于下限;同时lHz超低频振荡器和lkHz音频振荡器振荡工作,HA发出报警声。

图17、基于集成电路的双限温度报警电路

方案二:

1、电路组成

该双限温度报警器电路由温度检测控制电路、温度指示电路和声音报警电路组成,如上图17所示:

温度检测控制电路包括:

智能型温度传感器集成电路IC1,RP1和RP2;

温度指示电路包括:

VL1、VL2;

声音报警电路包括:

音效集成电路IC2,电阻器R5、晶体管V3和扬声器BL组成。

2、工作原理

当温度适宜(被测温度在报警温度的上限值和下限值之间)时,ICI的6脚输出低电平,7脚输出高电平,V1和V2均处于截止状态,VU和VL2均不发光,IC2和V3不工作,BL不发声。

当被测温度降至报警温度的下限值时,IC1的7脚由高电平变为低电平,使V2导通,VL2点亮,指示被测温度偏低;同时IC2通电工作,其输出的音效电信号经V3放大后,驱动BL发出报警声。

当被测温度升高至报警温度的上限值时,IC1的6脚由低电平变为高电平,使V1导通,VL1点亮,指示被测温度偏高;同时IC2通电工作,BL发出报警声。

方案三:

图18、基于运算放大器的双限温度报警器

1、电路组成

该双限温度报警器电路由温度检测放大电路、超低频振荡器、声音报警电路和电源电路组成,如图18所示:

温度检测放大电路包括:

热敏电阻器RT、电阻器Rl-R4、电位器RPl、RP2、电容器Cl、C2、二极管VDl和运算放大器集成电路ICl(Nl~N4)内部的N2、N3;

超低频振荡器包括:

IC1内部的N1、N4、电阻器R5~R12、电容器C3、C4和发光二极管VL2、VL3;

电源电路包括:

电源变压器T、整流桥堆UR、滤波电容器C6、C7、三端稳压集成电路lC2、电阻器R13和发光二极管VLl;

声音报警电路包括:

电阻器R14~R16、电容器C5、晶体管Vl、V2和扬声器BL;

2、工作原理

交流220V电压经T降压、UR整流、C6滤波及IC2稳压后,为温度检测放大电路、超低频振荡器和声音报警电路提供+6V电压。

电位器RPl用来设定温度的上限值,RP2用来设定温度的下限值。

当受控温度在设定温度范围内时,N2和N3均输出高电平,VD2和VD3导通,使超低频振荡器和声音报警电路不工作,BL不发声。

当受控温度超过设定温度的上限值时,RT的阻值减小,使N2因正相输入端电位低于反相输入端的基准电压而输出低电平,VD2截止,由Nl和R5、R7~RlO、C3组成的超低频振荡器振荡工作,VL2闪烁发光;同时该超低频振荡信号对由RI4-Rl6、C3和Vl、V2组成的音频振荡器进行调制,驱动BL发出间歇的蜂鸣报警声。

当受控温度低于设定温度的下限值时,RT的阻值增大,使N3输出低电平,VD3截止,由N4和RlO~Rl2、C4组成的超低频振荡器振荡工作,VU闪烁发光;同时,该超低频振荡信号对音频振荡器进行调制,驱动BL发出报警声。

尽管双指示双限温度报警器性能优良,但由于参数计算困难,所以最终没有采纳。

选择用两个电压比较器构成的报警器。

第三章系统综述、综合电路图

本设计分温度测量电路、显示电路、温度控制电路和报警电路4个部分。

温度测量电路选用在要求范围内线性较好的PT100热敏电阻温度传感器利用桥氏电路实现温度变化与电压变化的转换,并通过一个两级放大器,一个二阶有源滤波器实现对小信号的放大与干扰信号的滤除。

显示电路通过多端控制开关,经过A/D模数转换,将电压信号转换成数字信号,再转换成二进制码,进行BCD码转换后,最终经过74LS247译码在七段LED显示器上显示。

温度控制电路主要由电阻变化转化为电压变化来实现上、下限温度的控制。

报警电路由运放组成的窗口电压比较器来实现。

综合电路如图19所示(附大图):

 

第四章结束语

本次温度测量与控制电路的设计主要内容如上所述,在此次设计中运用到的知识大多数为课本所学,对于诸如单片机等其他知识尚未涉及到,因此设计中难免有缺点和漏洞,真诚希望老师指导,以求改进。

本次设计中有两大难点:

一是12位的A/D转换电路,在这一部分的设计中我们查阅了大量资料,最后决定用ADC16再结合两片四位加法器74LS283构成,这样以来不仅实现对于模拟信号的数字转换,同时也解决了精度的要求,二是如何将8位二进制数转换成8421BCD码的问题,经过查阅资料并研究决定用二进制/BCD码转换器74185来完成这个功能,具体电路图如上所述。

在本次设计中,技术要求中提到输入温度连续可调,在老师的指导下,我们采取的方法是将控制温度以电信号直接输入,参与电路中的信号处理,当然如果采用方案一的话,控制温度将直接以数字量直接输入,然后进行编码,使之成为二进制数字信号后参与电路中的信号处理,这样一来也实现了输入温度的连续可调,但是在进行控制温度中设定上、下限值时电路比较复杂,于是我们决定采用前者的方法来实现输入温度的连续可调。

以上即是对本次设计中的主要问题的讨论与解决方案,敬请老师给予指正,以求得更好的解决方法。

鸣谢

本次课程设计历时两周,在设计过程当中遇到了各类各样从未遇到的困难和挫折,但在其中得到了楚老师、肖老师的悉心指导和鼓励。

在此,感谢楚老师、肖老师对我们的帮助。

其次还要非常感谢同组同学一直以来对我的帮助,在这两周的时间里,他们两位给了我很大的帮助,而且做了很多工作,他们刻苦钻研的精神值得我去学习,我们在一起才真正体会到了团队合作的意义。

最后,我们衷心的感谢长安大学对我们的教育和支持。

 

元件明细表

序号

名称

型号参数

数量

备注

1

16位AD转换器

ADC16

1

2

二进制~8421BCD码转换器

74185

3

3

双向二极管

2N5444

4

4

数码管

3

SEVEN_SEG_COM_A_GREEN

5

二极管

1N5711

2

6

蜂鸣器

1

BUZZER200Hz

7

集成运放

LM741CH

10

8

四位加法器

74LS283D

2

9

七段译码器

74LS247D

3

10

铂丝

1

温度传感器

11

稳压二极管

02BZ2.2

1

12

LED

1

指示灯

表1

1、ADC16管脚图如图20:

2、74185:

该芯片是专门的二进制/BCD码变换器,74185的功能表见表2.虽然它只有五个二进制数输入端,但因二进制数变换为BCD码时最低位不需要变换,所以只需附加直通输出线即可实现二进制数到BCD码的变换。

74185的管脚图见上图21,其Y7、Y8两个输出在任何情况下均为高电平,属于两个无用的输出,故在图中未画出来。

74185功能表

N10

二进制数输入

使能

输出

EDCBA

G

Y8Y7Y6Y5Y4Y3Y2Y1

0-1

00000

0

11000000

2-3

00001

0

11000001

4-5

00010

0

11000010

6-7

00011

0

11000011

8-9

00100

0

11000100

10-11

00101

0

11000101

12-13

00110

0

11000110

14-15

00111

0

11000111

16-17

01000

0

11001000

18-19

01001

0

11001001

20-21

01010

0

11001010

22-23

01011

0

11001011

24-25

01100

0

11001100

26-27

01101

0

11001101

28-29

01110

0

11001110

30-31

01111

0

11001111

32-33

10000

0

11010000

34-35

10001

0

11010001

36-37

10010

0

11010010

38-39

10011

0

11010011

40-41

10100

0

11010100

42-43

10101

0

11010101

44-45

10110

0

11010110

46-47

10111

0

11010111

48-49

11000

0

11011000

50-51

11001

0

11011001

52-53

11010

0

11011010

54-55

11011

0

11011011

56-57

11100

0

11011100

58-59

11101

0

11011101

60-61

11110

0

11011110

62-63

11111

0

11011111

任意

XXXXX

1

11111111

表2

74185应用于6位二进制输转换成8421BCD码的电路如图22所示:

 

图22

3、74LS247功能作用:

74LS247是一TTLBCD—7段4线15V输出译码器/驱动器,如图22所示:

图22

表3

参考文献

1、朱兆优编·电子电路设计技术·北京:

国防工业出版社,2007

2、刘修文编·实用电子电路设计制作·北京:

中国电力出版社,2005

3、陈永甫编·电子电路智能化设计实例·北京:

电子工业出版社,2005

4、刘南平编·电子产品设计与制作技术·北京:

科学出版社,2009

5、林涛主编·模拟电子技术基础·重庆:

重庆大学出版社,2003

6、林涛主编·数字电子技术基础·北京:

清华大学出版社,2006

7、黄

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2