四位二进制加法计数器Word格式.docx

上传人:b****2 文档编号:990207 上传时间:2023-04-29 格式:DOCX 页数:13 大小:1.16MB
下载 相关 举报
四位二进制加法计数器Word格式.docx_第1页
第1页 / 共13页
四位二进制加法计数器Word格式.docx_第2页
第2页 / 共13页
四位二进制加法计数器Word格式.docx_第3页
第3页 / 共13页
四位二进制加法计数器Word格式.docx_第4页
第4页 / 共13页
四位二进制加法计数器Word格式.docx_第5页
第5页 / 共13页
四位二进制加法计数器Word格式.docx_第6页
第6页 / 共13页
四位二进制加法计数器Word格式.docx_第7页
第7页 / 共13页
四位二进制加法计数器Word格式.docx_第8页
第8页 / 共13页
四位二进制加法计数器Word格式.docx_第9页
第9页 / 共13页
四位二进制加法计数器Word格式.docx_第10页
第10页 / 共13页
四位二进制加法计数器Word格式.docx_第11页
第11页 / 共13页
四位二进制加法计数器Word格式.docx_第12页
第12页 / 共13页
四位二进制加法计数器Word格式.docx_第13页
第13页 / 共13页
亲,该文档总共13页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

四位二进制加法计数器Word格式.docx

《四位二进制加法计数器Word格式.docx》由会员分享,可在线阅读,更多相关《四位二进制加法计数器Word格式.docx(13页珍藏版)》请在冰点文库上搜索。

四位二进制加法计数器Word格式.docx

0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111→0000缺0100→0101

设计任务

1.按要求设计VHDL程序,

2.在XinlinxIse环境中运行程序并输出仿真波形。

工作进度和安排

第18周:

1.学习XinlinxIse软件知识,熟悉软件相关操作;

2.学习multsim软件知识,熟悉其在画逻辑电路时的应用;

3.查阅相关资料,学习时序逻辑电路设计知识。

第20周:

1.按要求编写程序代码,;

2.运行并输出仿真波形;

3.程序下载到电路板测试;

4.利用multsim软件,设计时序电路;

5.运行并验证结果;

6.撰写报告。

指导教师(签字):

 

年月日

学院院长(签字):

年月日

一.数字系统简介…………………………………………3

二.设计目的和要求………………………………………3

三.设计内容………………………………………………3

四.VHDL程序设计…………………………………………3

五.波形仿真………………………………………………11

六.逻辑电路设计…………………………………………12

六.设计体会………………………………………………13

七.参考文献………………………………………………13

一.数字系统简介

在数字逻辑设计领域,迫切需要一种一起的工业标准来统一对数字逻辑电路及系统的描述,如此就能够把系统的设计分解为逻辑设计(前端),电路实现(后端)和验证桑彼此独立而又相关的部份。

由于逻辑设计的相对独立性就能够够把专家们设计的各类数字逻辑电路和组件建成宏单元或软件核,即ip库共设计者引用,设计者能够利用它们的模型设计电路并验证其他电路。

VHDL这种工业标准的产生顺应了历史潮流。

二.设计目的和要求

一、通过《数字系统课程设计》的课程实验使电子类专业的学生能深切了解集成中规模芯片的利用方式。

二、培育学生的实际动手能力,并使之初步具有分析,解决工程实际问题的能力。

三.设计内容

四位二进制加计数,时序图如下:

0000→0001→0010→0011→0110→0111→1000→1001→1010→1011→1100→→1101→1110→1111→0000缺0100→0101。

由JK触发器组成4位异步二进制加法计数器。

四.VHDL程序设计

四位二进制加计数,缺0100,0101(sw向上是0(on);

灯亮为0)

LIBRARYIEEE;

USE

entitycount10is

PORT(cp,r:

INSTD_LOGIC;

q:

OUTSTD_LOGIC_VECTOR(3DOWNTO0));

endcount10;

ARCHITECTUREBehavioralOFcount10IS

SIGNALcount:

STD_LOGIC_VECTOR(3DOWNTO0);

BEGIN

PROCESS(cp,r)

ifr='

0'

thencount<

="

0000"

;

elsiFcp'

EVENTANDcp='

1'

THEN

ifcount="

0011"

count<

0110"

ELSEcount<

=count+1;

ENDIF;

endif;

ENDPROCESS;

q<

=count;

endBehavioral;

(一)成立工程。

File——〉NewProject;

ProjectName:

工程名(例:

count10);

ProjectLocation:

工程保留的位置(例:

F:

0603060x\count10);

next——>

……——>

next直至finish。

(二)调试程序。

右击xc95108-15pc84,选NewSource,再选VHDLModule后,填加文件名(例:

Filename:

v1)——〉next(PortName中随意填A)——〉finish

写入程序,保留程序

双击ImplementDesign(或右键Run),运行程序,调试成功显示如下

(三)波形仿真。

回到界面,右键点击v1-Behavioral(),选NewSource——〉TestBenchWaveForm——〉FileName:

t1(测试波形文件名t1),next(连接v1)——next〉——〉finish

左侧Sourcesfor栏内选择BehavioralSimulation,选择t1,打开Processes下的XilinxISESimulator如图

点击SimulateBehavioralModel(或右键RUN)运行仿真波形,如下

(四)引脚锁定与下载

左上侧Sourcefor选项当选择Synthesis/Implementation,左下侧Processes——〉UserConstraints——〉AssignPackagePins分派引脚:

Cp-key1,r-sw1,q3-L1,q2-L2,q1-L3,q0-L4。

点击保留,OK。

回到ISE:

Processes——〉ImplementDesign——〉OptionalImplementationTools——〉双击LockPins锁定引脚

Processes——〉ImplementDesign——〉双击GenerateProgrammingFile——〉ConfigureDevice(iMPACT),默许JTAG,finishi,——〉Open

右键点绿——〉Progaram——〉OK,终止下载。

(调试时sw向上是0;

五.Xilinx波形仿真

通过此图能够看到输出端从0到15又跳到0,缺少了4和5,正好符合要求,说明程序正确。

而且从图中能够看出四个输出端输出的波形,与时序图相吻合。

而且将程序下载到芯片中,实际的发光管亮灭也符合要求。

六.逻辑电路设计

其中包括4个JK触发器和一些与门或门组成的逻辑电路。

图中5个发光二极管(四红一绿),四红代表四个输出,即时序图的数值。

绿的代表错位信号,进位时绿灯就会亮。

红灯最高为最右边,最低位为最左侧,亮代表1,灭代表0。

实际仿真的结果与时序图一致。

观看逻辑电路的仿真图验证结果。

清楚的看到此波形与xilinx中仿真的波形一致。

七.设计体会

通过本次学习,让我了解到设计电路的VHDL程序,了解了关于计数器的大体原理和设计理念。

在实验中,通过那个方案设计了一个程序设计和电路逻辑图,并将程序下载到芯片中,与实际实践想结合。

了解了具体每一步该如何完成,每一个参数该如何设计。

画逻辑电路时,充分了解Multsim软件的应用,每一个器件在什么位置,能够快速准确的找到,本将电路图完整准确的画出来。

而且把握卡诺图的画法.

总之,本次实验也实际结合不仅巩固了讲义知识,也增强了实际动手能力,收成很多,收成颇丰。

八.参考文献

《字电子技术基础简明教程》余孟尝高等教育出版社

《现代数字电路设计》蓝江桥高等教育出版社

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2