现代数字系统设计课程教学大纲.docx

上传人:b****2 文档编号:1143085 上传时间:2023-04-30 格式:DOCX 页数:19 大小:25.72KB
下载 相关 举报
现代数字系统设计课程教学大纲.docx_第1页
第1页 / 共19页
现代数字系统设计课程教学大纲.docx_第2页
第2页 / 共19页
现代数字系统设计课程教学大纲.docx_第3页
第3页 / 共19页
现代数字系统设计课程教学大纲.docx_第4页
第4页 / 共19页
现代数字系统设计课程教学大纲.docx_第5页
第5页 / 共19页
现代数字系统设计课程教学大纲.docx_第6页
第6页 / 共19页
现代数字系统设计课程教学大纲.docx_第7页
第7页 / 共19页
现代数字系统设计课程教学大纲.docx_第8页
第8页 / 共19页
现代数字系统设计课程教学大纲.docx_第9页
第9页 / 共19页
现代数字系统设计课程教学大纲.docx_第10页
第10页 / 共19页
现代数字系统设计课程教学大纲.docx_第11页
第11页 / 共19页
现代数字系统设计课程教学大纲.docx_第12页
第12页 / 共19页
现代数字系统设计课程教学大纲.docx_第13页
第13页 / 共19页
现代数字系统设计课程教学大纲.docx_第14页
第14页 / 共19页
现代数字系统设计课程教学大纲.docx_第15页
第15页 / 共19页
现代数字系统设计课程教学大纲.docx_第16页
第16页 / 共19页
现代数字系统设计课程教学大纲.docx_第17页
第17页 / 共19页
现代数字系统设计课程教学大纲.docx_第18页
第18页 / 共19页
现代数字系统设计课程教学大纲.docx_第19页
第19页 / 共19页
亲,该文档总共19页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

现代数字系统设计课程教学大纲.docx

《现代数字系统设计课程教学大纲.docx》由会员分享,可在线阅读,更多相关《现代数字系统设计课程教学大纲.docx(19页珍藏版)》请在冰点文库上搜索。

现代数字系统设计课程教学大纲.docx

现代数字系统设计课程教学大纲

《现代数字系统设计》课程教学大纲

课程类别:

专业必修课

适用专业:

应用电子技术

适用层次:

高起专

适用教育形式:

网络教育

考核形式:

考试

所属学院:

信息工程学院

先修课程:

数字电子技术、电路原理、程序设计基础(C语言)

一、课程简介

《现代数字系统设计》是电子信息类专业开设的一门实践性很强的专业必修课,它系统地阐述了以FPGA为代表的现代数字系统设计的基本理论和基本方法,为数字电子系统可靠,快速实现提供了理论基础与实践训练。

本课程在数字电子技术、C语言等前修课程的基础上,学习数字电子电路的芯片级实现,掌握现代数字系统设计的思路与方法。

二、课程学习目标

本课程主要目的在于使学生了解现代数字系统设计的基本方法、现代数字系统的算法设计和硬件实现、VerilogHDL等硬件描述语言以及可编程逻辑器件的工作原理及其应用技术,全面实现数字系统设计的自动化,为从事数字系统设计提供最新理论基础和应用技术。

三、与其他课程的关系

前修课程:

数字电子技术,电路原理、程序设计基础(C语言)

后续课程:

单片机原理与应用、嵌入式系统

四、课程主要内容和基本要求

本课程在了解现代数字系统的设计的基本方法、现代数字系统的设计流程和发展趋势的基础上,阐述VerilogHDL硬件描述语言的程序结构、数据类型、基本描述语句和描述方式;讲述常用EDA开发软件---ISE软件的设计方法和设计过程;分析可编程逻辑器件的工作原理及应用技术;并通过实验教学掌握电子设计自动化的最新设计方法。

主要内容分为以下几个模块:

模块一:

现代数字系统设计技术概述

重点对可编程逻辑器件和EDA技术发展进行了概要性讲解,并详细介绍了现代数字系统设计流程、EDA工具软件和现代数字系统设计的发展趋势。

在了解EDA技术的发展后,才能更深的体会到EDA技术精髓所在,为后续章节的学习打下坚实的基础。

模块二:

EDA设计软件平台学习

ISEFoundation软件是Xilinx公司推出的FPGA/CPLD集成开发环境,它集成了设计输入、综合、仿真、实现和辅助设计等设计工具,使得各个设计关键步骤变得容易而直观。

通过本部分学习,需要了解ISEFoundation软件的安装、界面介绍、集成工具,理解基于原理图的设计流程。

模块三:

硬件描述语言的学习

硬件描述语言HDL是应用广泛、发展迅速、适用于复杂数字系统要求的设计输入方式。

它可以有效地表述设计者的设计意图,并在EDA工具的帮助下,快速实现其设计思想。

本模块要求主要对VerilogHDL的语法、模块结构、模块可综合性设计及测试文件的设计等几个关键问题进行了理解。

模块四:

IPCore的设计与使用

由于IPCore技术是FPGA设计中非常重要的内容,它通过使用成熟、优化的标准单元来完成学习者的设计,既提高了设计效率,又可以减少设计风险,特别是对复杂集成电路设计非常实用和快捷。

本模块将进一步拓展学习者的设计能力,学会采用IP核复用技术来设计电路。

模块五:

可编程逻辑器件原理

本模块介绍可编程逻辑器件的基本概念,让学习者了解可编程逻辑器件分类、可编程逻辑器件的基本结构、可编程逻辑器件的基本资源。

并让学习者着重了解CPLD和FPGA各自的结构和工作原理,以及它们之间的差异。

同时重点强调FPGA的结构、工作原理和系统配置方式及编程流程。

最后,学习者要了解相关Xilinx器件的命名规则。

模块六:

系统仿真、在线逻辑分析技术

系统仿真的地位十分重要,行为模型的表达、电子系统的建模、逻辑电路的验证乃至门级系统的测试,每一步都离不开仿真。

只有通过仿真才能了解程序在芯片内部的工作情况,然后根据情况和需要进行修改和优化;ChipScopePro是ISE集成套件中的片内逻辑分析工具,它能通过JTAG口,将FPGA内部信号实时读出,传入计算机进行分析。

需要学习者了解系统仿真软件与在线逻辑分析技术,协同工作,从而可以在产品成品前发现问题,解决问题,完善设计。

第一章总论

『知识点』

本章主要介绍EDA技术的发展历程;专用集成电路(ASIC)的分类;ASIC、FPGA各自的优点与缺陷;现代电子系统的设计流程;EDA工具的组成部分及各自作用;SOC技术与SOPC技术的区别及联系。

『基本要求』

通过本章的学习,了解EDA技术的发展历程,理解EDA技术的核心内容;了解各类逻辑器件的原理及工作方式,重点理解可编程逻辑器件FPGA相关知识;理解EDA技术、ASIC设计与FPGA开发三者之间的联系;掌握现代数字系统设计的主要步骤及其作用;了解现代数字系统设计的主要方法;了解SOPC技术及其主要设计方法;了解EDA技术的发展趋势。

『关键知识』

1.EDA技术的概述

2.专用集成电路(ASIC)的分类:

全定制ASIC和半定制ASIC。

半定制ASIC按器件发展顺序又可分为:

门阵列、标准单元、可编程逻辑器件(PLD)。

3.ASIC、FPGA各自的优点与缺陷。

4.现代电子系统的设计流程:

①设计准备;②设计输入;③设计处理;④设计校验;⑤器件编程。

5.EDA工具的组成部分及各自作用。

6.SOC技术与SOPC技术的区别及联系。

『重点』

本章的重点在于EDA技术的概念与现代电子系统设计

1、电子系统设计自动化(EDA)技术概述

(1)可编程逻辑器件概述

(2)EDA技术发展历程

(3)EDA技术进行电子系统设计的特点

(4)自顶向下设计方法

2、现代数字系统设计流程

(1)设计准备

(2)设计输入

(3)设计处理

(4)设计校验

(5)器件编程

3、现代数字系统设计的发展趋势:

电子设计最优化、在线可重构技术、SOPC技术。

『难点』

1、ASIC设计与FPGA开发之间的关系;

ASIC设计是针对某一特定引用领域的电路设计,一般特质全定制ASIC设计。

具有较高的可靠性,兼有体积小、重量轻、功耗低、速度快、成本低、保密性好等诸多优点,但其设计周期长、设计成本高,市场风险大等缺陷决定了其主要用于大规模的ASIC产品。

FPGA开发属于半定制ASIC设计的范畴,FPGA器件是可编程逻辑器件的重要成员之一。

在很多方面弥补了全定制ASIC的缺陷,因而使用FPGA器件进行专用集成电路的开发成为了当今最为流行的方式之一。

由于FPGA/CPLD的硬件资源和连线资源是厂家预先定制好,设计者对于可编程ASIC电路设计控制权有限,其性能、速度和单位成本上对于全定制或标准单元设计的ASIC是不具有竞争性的。

2、自顶向下的设计方法;

基于EDA技术的设计方法是“自顶向下”的设计方法,使开发者首先从系统设计入手,在顶层进行功能划分和结构设计,在系统级采用仿真手段验证设计的正确性。

然后再逐级设计低层的结构,用硬件描述语言对高层次的系统行为进行电路描述,最后再用逻辑综合优化工具生成具体的门级逻辑电路的网表,其对应的物理实现级可以是印刷电路板或专用集成电路。

3、FPGA、SOC及其SOPC技术的基本概念

第二章基于原理图的设计

『知识点』

ISEDesignSuite软件的概述,包括软件的安装、界面学习,主要集成工具;基于原理图的设计流程,包括:

以FPGA为设计目标芯片,进行原理图绘制、逻辑综合、物理实现、仿真验证和硬件配置的设计步骤。

这两节是学习者所必须要求掌握的,是整个FPGA学习、开发的基础。

『基本要求』

通过本章的学习,了解ISEDesignSuite软件的安装及其整合的各个开发工具的作用;熟悉ISEFoundation软件的安装步骤;理解基于原理图的FPGA设计流程。

『关键知识』

本章需要熟悉相关重要概念、ISEFoundation软件界面和它主要集成工具及其在ProjectNavigator中的常用启动方法,学会原理图设计流程,完成创建工程、原理图绘制、逻辑综合、物理实现、仿真验证和硬件配置6个环节。

『重点』

本章重点在于XilinxISEFoundation的安装及以约翰逊计数器为例学习基于原理图的设计流程,学会创建工程、原理图绘制、逻辑综合、物理实现、仿真验证和硬件配置下载等方法。

1.在原理图设计时,需要学习者对数字电路知识有较为熟悉的掌握,同时熟悉原理图编辑器ECS中各状态栏功能和学会采用层次原理图设计的方法;

2.综合流程是逻辑设计的重要环节,综合结果的好坏直接影响着布局布线的最终效果。

其中,综合属性设置对话框中参数的设置尤为重要,学习者需要好好设置其参数属性,才能达到好的综合效果。

3.物理实现中,学习者需要对转换、映射和布局布线各功能、物理实现流程及其各功能执行工具、产生的各类文件和相应的输入输出关系熟练掌握。

4.仿真是EDA设计过程中的十分重要的步骤,根据一定的算法和一定的仿真库对设计进行模拟,以验证设计,排除错误。

其中仿真分为功能仿真与时序仿真。

学习者必须了解功能仿真与时序仿真的功能、步骤及区别,同时包括相关测试文件的编写方法的掌握。

5.硬件下载是FPGA设计的最后一步,是将生成的硬件配置文件下载到FPGA中,让FPGA成为用户所需的定制芯片。

『难点』

1、在原理图设计时,由于是调用元件库中固有的图形化组件和用户自定义的图形化模块组件,这需要学习者对数字电路知识有较为熟悉的掌握,同时对相应图形化组件在元件库中存放的位置比较熟悉,这方便学习者设计原理图;

2、综合与实现流程是逻辑设计的重要环节,对综合属性设置对话框中参数的设置尤为重要,学习者需要好好设置其参数属性,才能达到好的综合效果;在物理实现中,学习者需要对转换、映射和布局布线各功能、物理实现流程及其各功能执行工具、产生的各类文件和相应的输入输出关系熟练掌握。

3、功能仿真与时序仿真是EDA设计过程中的十分重要的步骤。

学习者必须了解功能仿真与时序仿真的功能、步骤及区别。

在仿真时,通常采用先功能仿真,再时序仿真的步骤,同时要注意功能仿真的时序正确并不一定在时序仿真时正确。

第三章基于VerilogHDL语言的设计

『知识点』

本章系统学习VerilogHDL。

首先是VerilogHDL语法概述和模块结构;然后根据对电路描述的抽象层次不同,按照门级建模,数据流级建模,行为级建模,对各描述层次涉及的VerilogHDL语法进行阐述,并对VerilogHDL的可综合设计进行了总结;最后表述测试平台概念及其测试文件设计。

『基本要求』

通过本章的学习,理解VerilogHDL的基本结构;理解门级建模,数据流级建模,行为级建模的重点语法;理解Mealy型和Moore型有限状态机设计;了解VerilogHDL可综合设计;了解测试代码的设计。

『关键知识』

1、module……endmodule:

模块标示符,整个设计模块均在它们内部编写、执行。

2、parameter:

指定一个标识符(即名字)来代表一个常数,参数的定义常用在信号位宽定义,延迟时间定义等位置,以增加程序的可读性,方便程序的修改。

3、wire:

网络数据型表示结构实体之间的物理连接,它不能存储值,且需要受到驱动器的驱动。

它通常表示单个门驱动或连续赋值语句驱动。

4、reg:

寄存器数据存储单元的关键字。

只能在initial语句和always语句中被赋值。

reg的默认值是不定值x。

5、memory:

通过对reg型变量进行地址扩展建来实现对存储器建模,常用于寄存器文件,以及ROM,RAM的建模。

6、initial:

在程序一开始就立刻执行其内部语句,用于仿真开始时对各变量进行初始化或产生激励波形作为电路的测试信号。

一个模块中可以有多个initial块,且是并行运行的。

7、always:

在程序一开始就立刻执行其内部语句,它是不断重复,直到仿真结束。

因此,它只有和一定的时序控制结合在一起才有效,并利用它的触发条件来决定是否执行它后面跟随的过程块。

『重点』

1、VerilogHDL描述的基本规则如下:

(1)VerilogHDL的程序描述必须位于关键词module和endmodule之间;

(2)每个模块必须有一个模块名;

(3)需要对模块的输入输出端口进行说明;

(4)模块中的每一条语句都以分号(;)结束。

2、VerilogHDL描述有4个层次的模型类型:

(1)行为级或算法级

(2)数据流级

(3)门级

(4)开关级

3、门级建模

使用VerilogHDL直接调用and(与门)和or(或门)等逻辑门原语搭建的数字电路与实际电路是一一对应的,描述直观、且容易理解。

4、数据流建模

数据流级建模描述数据在寄存器,逻辑门之间传输和处理的过程。

(1)连续赋值语句

连续赋值常用于数据流行为建模,常以assign为关键词,操作符是“=”。

assign赋值语句执行将数值赋给线网,可以完成门级描述,也可从更抽象的角度对线网电路进行描述,多用于组合逻辑电路的表述。

其格式为,assign赋值目标线网=表达式;

(2)表达式、运算符和操作数

运算符和操作数构成的表达式是数据流建模的基础。

5、行为级建模

(1)顺序块和并行块语句:

begin...end,fork.....join语句。

(2)条件语句:

if语句,case语句。

(3)循环语句:

for语句,repeat语句,while语句,forever语句。

(4)赋值语句:

包括过程赋值与数据流赋值,其中过程赋值包括:

阻塞赋值、非阻塞赋值。

(5)结构化语句:

initial语句,always语句,task语句,function语句

『难点』

1、always、initial、begin……end、reg、wire、memery语法的使用

学习者需要掌握always与initial的应用场合及异同点;begin……end的使用,这是很多初学者所容易忽略和难以理解的地方;区分reg、wire和memery各自的功能及在实际编程中的应用场合。

2、有限状态机设计

有限状态机是数字电路系统的重要组成部分。

其电路由组合逻辑模块和状态寄存器组成,其中状态寄存器由一组触发器构成,用以存储当前状态,所有触发器时钟连在同一个系统时钟上,在时钟跳变沿的控制下,触发器中存储的状态发生变化,电路同步工作。

组合逻辑根据当前状态和输入信号,决定下一状态的变化情况和输出的逻辑值。

第四章基于IP核的设计

『知识点』

第一节为IP模块的种类与应用。

主要内容包括:

介绍软核、固核和硬核三种IP核各自的特点和它们之间的优缺点,以及按功能划分IP核的嵌入式IP核与通用IP模块的种类及应用。

第二节为IP核的选择与复用。

主要内容包括:

介绍从软核和硬核的功能、性能可靠性和实现技术几方面来选择所需的IP核,并着重强调采用IP核复用方式来致力于系统设计。

第三节为IP核生成工具简介。

主要内容包括:

详细介绍了CoreGeneratorIP核开发工具的操作界面各信息窗口的功能。

第四节为常用IPCore的设计。

主要内容包括:

采用IP核复用技术,以实例设计可逆计数器、存储器和时钟三种常用模块,详细介绍IPCore工程的创建与管理,查找合适的IP核,IP核的参数设计与生成及IP核的仿真。

『基本要求』

通过本章学习,理解IPCore基本概念;了解使用IPCore成为现代数字系统设计的发展趋势;理解按照提供方式或者功能方面,对IPCore的不同分类及其各自的特点;了解IPCore的选择与复用方法;理解IPCore的生成工具CoreGenerator的使用。

『关键知识』

1、IP核:

在SOC设计中,IP核特指可以通过知识产权贸易在各设计公司间流通的完成特定功能的电路模块。

从电路设计的角度来看,IP核与公司内部自行建立的可重复使用模块差别很小,IP核同样也要求要有完整的功能说明文档、测试文档及接口文档。

2、按提供方式分,可分为软核、固核、硬核。

软核:

是以可综合的寄存器传输级(RTL)描述或通用库元件的网表形式提供的可重用的IP模块。

硬核:

经验证的具有特定电路功能的集成电路版图。

固核:

带有平面规划信息的网表。

3、掌握CoreGeneratorIP核开发工具及常用IP核复用设计,并学会对其功能和时序仿真,同时学会通过窗口中ViewDataSheet来获得所调用IP核的详细参数信息进行复用设计。

『重点』

1、IP核的种类、IP核的应用和IP核的选择,了解了IP核的种类与应用,并强调学习者学会使用IP核复用技术进行设计的方法。

2、以可逆计数器、存储器为实例设计,理解ISE中调用设计IP核的流程及所设计的IP核的仿真验证。

需要学习者学会IP核的设计流程,同时对所需要设计IP核的参数信息进行了解,这可以通过窗口中ViewDataSheet来获得所调用IP核的详细参数信息,以便学习者更好的配置参数,设计出符合功能要求的IP核。

『难点』

1.IP核的设计流程的掌握:

对于IP核设计流程的掌握,需要学习者在会建立工程的基础上,学会使用XilinxFPGA的IP核开发工具CoreGenerator。

对其内部10大功能模块进行了解、熟悉,并对常用RAM、FIFO、ROM等IP核所在模块熟练掌握。

2.所设计IP核的参数信息的学习:

这是设计符合功能要求IP核所必须熟悉和掌握的,同时也是IP核设计的最难点。

学习者可以通过窗口中ViewDataSheet来获得所调用IP核的详细参数信息,通过其DataSheet可以学习相关参数信息。

对设计好的IP核,再利用HDL语言对IP核进行调用核控制,完成所需涉及的功能。

第五章系统仿真

『知识点』

本章介绍系统仿真在现代数字系统设计中的重要意义;ISE自带仿真软件的使用方法。

『基本要求』

本章要了解系统仿真在现代数字系统设计中的重要意义,理解ISE自带的仿真软件的使用方式,同时分清功能仿真与时序仿真的异同。

『关键知识』

1、系统仿真在现代数字系统设计中的重要意义。

2、仿真软件的使用。

『重点』

『难点』

功能仿真与时序仿真的区别。

仿真过程是正确实现设计的关键环节,用来验证设计者的设计思想是否正确,及在设计实现过程中各种分布参数引入后,其设计的功能是否依然正确无误。

仿真主要分为功能仿真和时序仿真。

功能仿真是在设计输入后进行;时序仿真是在逻辑综合后或布局布线后进行。

(1)功能仿真(前仿真)

功能仿真是指在一个设计中,在设计实现前对所创建的逻辑进行的验证其功能是否正确的过程。

布局布线以前的仿真都称作功能仿真,它包括综合前仿真(Pre-SynthesisSimulation)和综合后仿真(Post-SynthesisSimulation)。

综合前仿真主要针对基于原理框图的设计;综合后仿真既适合原理图设计,也适合基于HDL语言的设计。

(2)时序仿真(后仿真)

时序仿真使用布局布线后器件给出的模块和连线的延时信息,在最坏的情况下对电路的行为作出实际地估价。

时序仿真使用的仿真器和功能仿真使用的仿真器是相同的,所需的流程和激励也是相同的;唯一的差别是为时序仿真加载到仿真器的设计包括基于实际布局布线设计的最坏情况的布局布线延时,并且在仿真结果波形图中,时序仿真后的信号加载了时延,而功能仿真没有。

第六章可编程逻辑器件原理

『知识点』

本章全面介绍可编程逻辑器件的基本概念,可编程逻辑器件分类、可编程逻辑器件的基本结构、可编程逻辑器件的基本资源。

介绍CPLD和FPGA各自的结构和工作原理,以及它们之间的差异。

同时重点强调FPGA的结构、工作原理和系统配置方式及编程流程。

最后,介绍相关Xilinx器件的命名规则。

『基本要求』

理解可编程逻辑器件分类、可编程逻辑器件的基本结构及可编程逻辑器件的基本资源;理解CPLD及FPGA的基本结构和工作原理,了解基于乘积项和基于查找表的可编程逻辑结构的原理和区别。

了解编程与配置的概念;了解FPGA的多种配置模式;了解FPGA/CPLD的选择原则;了解FPGA/CPLD的命名规则。

『关键知识』

1、名词解释

PLD(ProgrammableLogicDevice):

可编程逻辑器件。

CPLD(ComplexProgrammableLogicDevice):

复杂可编程逻辑器件。

FPGA(FieldProgrammableGateArray):

现场可编程门阵列。

2、可编程逻辑器件的分类

按集成度分为:

低密度可编程逻辑器件,代表器件:

PROM,PLA等;高密度可编程逻辑器件,代表器件:

CPLD和FPGA。

按互连结构分为:

确定型和统计型。

按编程元件分为:

熔丝或反熔丝编程、浮栅编程技术、基于SRAM静态存储器。

按可编程特性分为:

一次可编程、重复可编程。

『重点』

1、CPLD是基于乘积项结构的可编程逻辑器件,其至少包含可编程逻辑块,可编程I/O单元和可编程内部连线。

2、FPGA是基于查找表的可编程逻辑器件,它基于SRAM结构,断电后数据消失,需要配备一个PROM或E2PROM存储编程数据。

FPGA芯片内包含可编程逻辑块(CLB),可编程I/O单元,互连资源。

『难点』

1、CPLD宏单元及其构成。

FPGA的CLB及其电路结构。

2、FPGA/CPLD的电路并行特性;FPGA的配置电路结构。

第七章在线逻辑分析技术

『知识点』

本章主要介绍了JTAG边界扫描测试技术的原理和方法,详细讲解了ISE集成套件中的在线逻辑分析仪ChipScopePro及其使用方法。

『基本要求』

理解在线逻辑分析技术的意义以及原理,可以使用线逻辑分析仪ChipScopePro进行系统测试。

『关键知识』

1、JTAG边界扫描测试的原理及方法。

2、在线逻辑分析仪ChipScopePro工作原理的理解。

3、在线逻辑分析仪ChipScopePro的使用。

『重点』

1、JTAG边界扫描测试的原理及方法。

JTAG工作原理是在器件内部定义一个测试访问端口(TestAccessPort,TAP),通过专用的JTAG测试工具对内部节点进行测试和调试。

它采用集成电路的内部外围所谓的“电子引脚”(边界)模拟传统的在线测试的物理引脚,对器件内部进行扫描测试。

2、逻辑分析仪ChipScopePro概述

在线ChipScopePro是Xilinx公司开发的在线片内逻辑分析工具,它通过JTAG电缆,实时地读出FPGA的内部信号。

它的基本原理是利用FPGA中未使用的块RAM,根据用户设定的触发条件将信号实时的保存到这些块RAM中,然后通过JTAG电缆传送到计算机,最后在计算机屏幕上显示出时序波形。

『难点』

在线逻辑分析仪ChipScopePro的使用

五、课程学习的方法及特点

1、本课程是实践性很强的课程,注意在掌握课堂的理论基础上能够灵活应用于实践,通过实验或实践环节加强加深对理论的理解。

2、能够熟练运用VerilogHDL硬件描述语言和其他电路描述方式进行较复杂的数字电路设计。

3、课堂教学向“少”、“精”、““宽”、“新”的方向去做。

以课堂教学为主,但要通过查阅资料、讨论交流等方式及时了解该领域的最新发展动态,锻练学生学会自我学习能力,同时注意知识的更新。

4、以教材为主,但不拘泥于教材。

提倡扩大知识面,广泛阅读参考书籍、参考文献及上网查阅资料。

考核方式可采取理论考试、实验设计、动手能力、课题答辩、作品演示等,从多角度综合评判。

六、课程学习材料

1、课程基本教材

基于FPGA的现代数字系统设计,刘桂华,西安:

西安电子科技大学出版社,2012

2、课程主要辅导材料

(1)潘松,黄继业,EDA技术实用教程,北京:

科学出版社,2002

(2)马建国,孟宪元,电子设计自动化技术基础,北京

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2