vhdl实验报告16乘16点阵列选显示.docx

上传人:b****6 文档编号:13144238 上传时间:2023-06-11 格式:DOCX 页数:26 大小:15.65KB
下载 相关 举报
vhdl实验报告16乘16点阵列选显示.docx_第1页
第1页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第2页
第2页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第3页
第3页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第4页
第4页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第5页
第5页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第6页
第6页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第7页
第7页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第8页
第8页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第9页
第9页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第10页
第10页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第11页
第11页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第12页
第12页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第13页
第13页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第14页
第14页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第15页
第15页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第16页
第16页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第17页
第17页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第18页
第18页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第19页
第19页 / 共26页
vhdl实验报告16乘16点阵列选显示.docx_第20页
第20页 / 共26页
亲,该文档总共26页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

vhdl实验报告16乘16点阵列选显示.docx

《vhdl实验报告16乘16点阵列选显示.docx》由会员分享,可在线阅读,更多相关《vhdl实验报告16乘16点阵列选显示.docx(26页珍藏版)》请在冰点文库上搜索。

vhdl实验报告16乘16点阵列选显示.docx

vhdl实验报告16乘16点阵列选显示

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYXIANSHIIS

PORT(

res:

INSTD_LOGIC;

XUAN:

INSTD_LOGIC_VECTOR(3DOWNTO0);

SEL:

INSTD_LOGIC_VECTOR(3DOWNTO0);

Q:

OUTSTD_LOGIC_VECTOR(15DOWNTO0)

);

ENDENTITYXIANSHI;

ARCHITECTUREXSDZOFXIANSHIIS

BEGIN

PROCESS(XUAN,SEL,res)

BEGIN

CASERESIS

WHEN'1'=>

CASEXUANIS

WHEN"0000"=>

CASESELIS

when"0000"=>Q<="1111111111111111";----显示“1”

when"0001"=>Q<="0000000000000000";

when"0010"=>Q<="0000000000000000";

when"0011"=>Q<="0000000000000000";

when"0100"=>Q<="0000000000000000";

when"0101"=>Q<="0000000000000000";

when"0110"=>Q<="0000000000000000";

when"0111"=>Q<="0000000000000000";

when"1000"=>Q<="0000000000000000";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"0001"=>

CASESELIS

when"0000"=>Q<="1111111111111111";---显示"2"

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="0000000000000000";

when"0011"=>Q<="0000000000000000";

when"0100"=>Q<="0000000000000000";

when"0101"=>Q<="0000000000000000";

when"0110"=>Q<="0000000000000000";

when"0111"=>Q<="0000000000000000";

when"1000"=>Q<="0000000000000000";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"0010"=>

CASESELIS

when"0000"=>Q<="1111111111111111";---显示“3”

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="0000000000000000";

when"0100"=>Q<="0000000000000000";

when"0101"=>Q<="0000000000000000";

when"0110"=>Q<="0000000000000000";

when"0111"=>Q<="0000000000000000";

when"1000"=>Q<="0000000000000000";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"0011"=>

CASESELIS

when"0000"=>Q<="1111111111111111";

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="0000000000000000";

when"0101"=>Q<="0000000000000000";

when"0110"=>Q<="0000000000000000";

when"0111"=>Q<="0000000000000000";

when"1000"=>Q<="0000000000000000";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"0100"=>

CASESELIS

when"0000"=>Q<="1111111111111111";--显示”5“

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="0000000000000000";

when"0110"=>Q<="0000000000000000";

when"0111"=>Q<="0000000000000000";

when"1000"=>Q<="0000000000000000";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"0101"=>

CASESELIS

when"0000"=>Q<="1111111111111111";

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="0000000000000000";

when"0111"=>Q<="0000000000000000";

when"1000"=>Q<="0000000000000000";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"0110"=>

CASESELIS

when"0000"=>Q<="1111111111111111";--显示”7“

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="0000000000000000";

when"1000"=>Q<="0000000000000000";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"0111"=>

CASESELIS

when"0000"=>Q<="1111111111111111";---显示“8”

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="1111111111111111";

when"1000"=>Q<="0000000000000000";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"1000"=>

CASESELIS

when"0000"=>Q<="1111111111111111";--显示”9"

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="1111111111111111";

when"1000"=>Q<="1111111111111111";

when"1001"=>Q<="0000000000000000";

when"1010"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"1001"=>

CASESELIS

when"0000"=>Q<="1111111111111111";--显示“10”

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="1111111111111111";

when"1000"=>Q<="1111111111111111";

when"1001"=>Q<="0000000000000000";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"1010"=>

CASESELIS

when"0000"=>Q<="1111111111111111";---显示“11”

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="1111111111111111";

when"1000"=>Q<="1111111111111111";

when"1001"=>Q<="1111111111111111";

when"1010"=>Q<="1111111111111111";

when"1011"=>Q<="0000000000000000";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"1011"=>

CASESELIS

when"0000"=>Q<="1111111111111111";--显示"12"

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="1111111111111111";

when"1000"=>Q<="1111111111111111";

when"1001"=>Q<="1111111111111111";

when"1010"=>Q<="1111111111111111";

when"1011"=>Q<="1111111111111111";

when"1100"=>Q<="0000000000000000";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"1100"=>

CASESELIS

when"0000"=>Q<="1111111111111111";--显示”A"

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="1111111111111111";

when"1000"=>Q<="1111111111111111";

when"1001"=>Q<="1111111111111111";

when"1010"=>Q<="1111111111111111";

when"1011"=>Q<="1111111111111111";

when"1100"=>Q<="1111111111111111";

when"1101"=>Q<="0000000000000000";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"1101"=>

CASESELIS

when"0000"=>Q<="1111111111111111";--显示"B”

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="1111111111111111";

when"1000"=>Q<="1111111111111111";

when"1001"=>Q<="1111111111111111";

when"1010"=>Q<="1111111111111111";

when"1011"=>Q<="1111111111111111";

when"1100"=>Q<="1111111111111111";

when"1101"=>Q<="1111111111111111";

when"1110"=>Q<="0000000000000000";

when"1111"=>Q<="0000000000000000";

whenothers=>null;

ENDCASE;

WHEN"1110"=>

CASESELIS

when"0000"=>Q<="1111111111111111";--显示“C”

when"0001"=>Q<="1111111111111111";

when"0010"=>Q<="1111111111111111";

when"0011"=>Q<="1111111111111111";

when"0100"=>Q<="1111111111111111";

when"0101"=>Q<="1111111111111111";

when"0110"=>Q<="1111111111111111";

when"0111"=>Q<="1111111111111111";

when"1000"=>Q<="1111111111111111";

when"1001"=>Q<="1111111111111111";

when"1010"=>Q<="1111111111111111";

when"1011"=>Q<="1111111

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2