EDA技术课程大纲设计.docx

上传人:b****1 文档编号:13446564 上传时间:2023-06-14 格式:DOCX 页数:15 大小:24.72KB
下载 相关 举报
EDA技术课程大纲设计.docx_第1页
第1页 / 共15页
EDA技术课程大纲设计.docx_第2页
第2页 / 共15页
EDA技术课程大纲设计.docx_第3页
第3页 / 共15页
EDA技术课程大纲设计.docx_第4页
第4页 / 共15页
EDA技术课程大纲设计.docx_第5页
第5页 / 共15页
EDA技术课程大纲设计.docx_第6页
第6页 / 共15页
EDA技术课程大纲设计.docx_第7页
第7页 / 共15页
EDA技术课程大纲设计.docx_第8页
第8页 / 共15页
EDA技术课程大纲设计.docx_第9页
第9页 / 共15页
EDA技术课程大纲设计.docx_第10页
第10页 / 共15页
EDA技术课程大纲设计.docx_第11页
第11页 / 共15页
EDA技术课程大纲设计.docx_第12页
第12页 / 共15页
EDA技术课程大纲设计.docx_第13页
第13页 / 共15页
EDA技术课程大纲设计.docx_第14页
第14页 / 共15页
EDA技术课程大纲设计.docx_第15页
第15页 / 共15页
亲,该文档总共15页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA技术课程大纲设计.docx

《EDA技术课程大纲设计.docx》由会员分享,可在线阅读,更多相关《EDA技术课程大纲设计.docx(15页珍藏版)》请在冰点文库上搜索。

EDA技术课程大纲设计.docx

EDA技术课程大纲设计

EDA技术课程大纲

课程英文译名:

EDA Technology

课程编号:

                     

课内总学时:

64          

学 分:

3

开课对象:

电子信息工程/电子信息科学与技术/电子科学与技术/集成电路设计专业本科学生        

课程类别:

学院定必修

一、课程的任务和目的

   本课程是电类专业的专业基础课,要求学生通过本课程的学习和实验,初步掌握常用EDA工具的使用方法、FPGA的开发技术以及VHDL语言的编程方法。

能比较熟练地使用QuartusII等常用EDA软件对FPGA和CPLD作一些简单电路系统的设计,同时能较好地使用VHDL语言设计简单的逻辑电路和逻辑系统,学会行为仿真、时序仿真和硬件测试技术,为现代EDA工程技术的进一步学习,ASIC器件设计以及超大规模集成电路设计奠定基础。

   作为一门专业基础课,除了为现代电子线路课程,软件无线电课程奠定理论和实践方面的基础外,还是其他一些课程的先修课,如微电子导论、现代ASIC设计、硬件描述语言仿真/综合器设计、大规模集成电路设计等。

二、课程内容与基本要求

   

(一)概论

   介绍现代EDA技术,VHDL概况,介绍自顶向下的系统设计方法以及FPGA和CPLD的基本技术,要求对现代EDA技术及实现工具的使用方法和发展情况有一初步了解。

(二)EDA设计流程及工具

   首先介绍基于EDA软件的FPGA/CPLD开发流程和ASIC设计流程,然后分别介绍与这些设计流程中各环节密切相关的EDA工具软件,最后简述QuartusII的基本情况和IP。

   (三)FPGA/CPLD结构与应用

   主要介绍几类常用的大规模可编程逻辑器件的结构和工作原理。

对CPLD的乘积项原理和FPGA的查找表原理分别进行剖析。

最后介绍相关的编程下载和测试技术。

   (四)VHDL设计初步

   通过数个简单、完整而典型的VHDL设计示例,使学生初步了解用VHDL表达和设计电路的方法,并对由此而引出的VHDL语言现象和语句规则能逐步趋向系统的了解。

   (五)QuartusII应用向导

   通过实例,详细介绍基于QuartusII的VHDL文本输入设计流程,包括设计输入、综合、适配、仿真测试和编程下载等方法,以及QuartusII包含的一些有用的测试手段,最后介绍原理图输入设计方法。

   (六)VHDL设计进阶

   介绍一些新的实例及相关的VHDL语法知识,使学生进一步了解VHDL语言现象和语句规则的特点,以及应用VHDL表达与设计电路的方法。

   (七)宏功能模块与IP应用

   Altera提供了可参数化的宏功能模块和LPM函数,并基于Altera器件的结构做了优化设计,使得设计的效率和可靠性得到了很大的提高。

可以根据实际电路的设计需要,选择LPM库中的适当模块,并为其设定适当的参数,满足设计的需要。

本章通过一些示例介绍LPM宏功能模块与IP核的使用方法。

   (八)状态机设计

   介绍使用VHDL设计有限状态机一般性程序结构;介绍状态机的实用程序设计、状态编码方法以及非法状态排除技术。

   (九)VHDL程序结构与规则

介绍VHDL的基本程序结构,包括实体、结构体、进程、库和子程序等主要语句结构,要求掌握VHDL可综合程序设计的基本程序结构。

重点了解进程语句结构及其运行特点。

   (十)VHDL语句与VHDL仿真

   简要介绍VHDL编程的3种描述风格;介绍VHDL仿真方法、目的和延时模型、简介VHDL程序综合概念与可综合的要求,介绍时序电路和组合电路的设计要点和方法。

  (十一)设计优化和时序分析

   分析资源优化、速度优化的常用方法,介绍QuartusII中优化设置与优化设计方法、以及时序分析方法。

   (十二)实验

   由于本课程是一实践性很强,且软硬件设计密切结合的课程,所以安排了较多的实验内容,实验总学时为21,除实验1为基础实验,其余均为综合、设计性实验。

实验1:

基于VHDL的简单组合与时序电路设计   4学时                 

      实验2:

QuartusII原理图设计                4学时

      实验3:

7段数码管显示译码设计              4学时

      实验4:

数控分频器设计                   4学时

      实验5:

正弦波形信号发生器设计            4学时

      实验6:

8位十六机制频率计设计              4学时

      实验7:

序列检测器设计)           4学时

实验8:

数据采集电路和简易存储示波器设计   4学时

      实验9:

比较器和D/A实现的D/A电路设计      4学时

      实验10:

乐曲硬件演奏电路设计           4学时

                        

三、与各课程的联系

   先修课程:

C语言,脉冲与数字电路,电路分析,信号系统,高等数学,微机原理。

四、对学生能力培养的要求

   通过对本课程的学习,学生能较好地掌握全新的硬件电子系统的设计技术,更深刻地了解计算机软件语言与硬件语言实现的现代电子系统设计能力。

通过理论学习与实践设计锻炼的紧密结合,提高理论与工程实际相结合的能力,为未来进一步的学习和工作实践奠定良好基础。

五、学时分配

   本课程总学时数为51,其中授课30学时,实验21学时,具体分配如下:

(一)概论                                 2学时

(二)EDA设计流程及工具                    2学时

(三)FPGA/CPLD结构与应用                  2学时

(四)VHDL设计初步                         4学时

(五)QuartusII应用向导                    2学时

(六)VHDL设计进阶                         4学时

(七)宏功能模块与IP应用                  2学时

(八)状态机设计                           2学时

(九)VHDL程序结构与规则                   2学时

(十)VHDL语句与VHDL仿真                   1学时

(十一)设计优化和时序分析                 1学时

(十二)实验

      实验1:

基于VHDL的简单组合与时序电路设计   4学时                 

      实验2:

QuartusII原理图设计                4学时

      实验3:

7段数码管显示译码设计              4学时

      实验4:

数控分频器设计                   4学时

      实验5:

正弦波形信号发生器设计            4学时

      实验6:

8位十六机制频率计设计              4学时

      实验7:

序列检测器设计)           4学时

实验8:

数据采集电路和简易存储示波器设计   4学时

      实验9:

比较器和D/A实现的D/A电路设计      4学时

      实验10:

乐曲硬件演奏电路设计           4学时

六、教材与参考书

教材:

 

EDA技术实用教程(第三版),潘松、黄继业编著,科学出版社,2006。

参考书:

CPLD/FPGA的开发与应用,徐志军、徐光辉编著,电子工业出版社,2002;

电子设计自动化(EDA)教程,王锁萍,电子科技大学出版社,2000;

数字系统设计与PLD应用技术,蒋璇、臧春华编著,电子工业出版社,2001;

SOPC技术实用教程,潘松、黄继业、曾毓编著,清华大学出版社,2005;

数字系统设计与VerilogHDL,王金明、杨吉斌编著,电子工业出版社,2002;

AlteraDigitalLibrary,AlteraCorporation,Altera,2002;

DataBook2001,XilinxInc.,Xilinx,2001。

黄河科技学院

2010至2011  学年第1学期

学 期 授 课 计 划

授课对象:

电子信息学院电子信息工程专业 

班级:

08电子技术专科

课程名称:

EDA技术

本学期上课:

 

教材名称:

《EDA技术实用教程》(第三版)

讲     授:

    24学时

主讲教师:

王照平

习 题 课:

      0   学时

辅导教师:

课程实践:

   0  学时

 

实     验:

   40    学时

 

上     机:

           学时

 

总     计:

    64    学时

2010 年9月 8 日

编订说明:

 实验时间,根据教学需要,与授课时间交叉进行。

 

序号

授课内容

学时

作 业

备注

 

1

 

第1章         概述

1.EDA技术及其发展

2.VHDL与综合

2

 1-1、1-4、1-5

周次

2

9月15日

2

第2章EDA设计流程及其工具

2

2-2、2-4

4

9月29日

3

第3章CPLD/FPGA结构与应用

2

 3-1、3-2、3-3

5

4

第4章:

VHDL设计初步

VHDL的基本程序结构

简单组合时序电路设计

2

4--1、2

6

10月6日

5

第5章:

QuartusII应用向导

2

预习实验3-1

7

10月13日

实验1:

基于VHDL的简单组合与时序电路设计

(实验3-1)

 4

 

6

第4章:

VHDL设计初步

VHDL层次化结构设计方法

2

4—4,5

8

10月20日

实验2:

QuartusII原理图设计

(实验3-8)

7

第6章:

VHDL设计进阶

复杂组合,时序逻辑电路设计

2

9

10月27日

实验3:

7段数码显示译码器设计

(实验3-3)

 4

 

8

第6章:

VHDL设计进阶

复杂组合,时序逻辑电路设计

2

6—3、4、8、11

10

11月3日

实验4:

数控分频器设计

(实验3-6);数控分频器

4

先预习实验5-1、5=2

 

9

 

第7章:

宏功能模块与IP使用

LPM使用

IP复用

2

7-1、2

11

11月10日

实验5:

正弦信号发生器设计

(实验3-10)

4

实验6:

8位十六进制频率计设计

(实验3-7)

4

12

11月17日

10

第8章:

有限状态机设计

一般有限状态机设计,状态机优化,状态编码

2

8-1,3,5,6

13

11月24

实验7:

序列检测器设计  

(实验3-12)

4

 

实验8:

数据采集电路和简易存储示波器

(实验3-14)

4

14

12月1日

实验9:

比较器和D/a器件实现A/D

(实验3-15)

4

15

12月8日

11

第9章:

VHDL结构要素

第10章:

VHDL基本语句

2

9-2,3,5

10-3,4

16

12月15

实验10:

乐曲硬件演奏电路

(实验3-16)

4

 

12

第11章优化与仿真

2

17

12月22日

 

 

1.课程重点

基于EDA工具的系统设计技术的掌握,包括HDL硬件描述语言、综合与时序仿真技术、EDA软件工具的熟练应用,软硬件系统联合设计与测试等。

2.课程的难点

一是熟练掌握VHDL语言进行电子线路系统的设计技术;

二是软硬件系统的联合设计与测试,且在工作速度、精度、可靠性、容错性、电磁兼容性及资源利用率等放面都能满足工程实际应用的要求。

3.解决办法

1)突破传统的VHDL语言教学模式和流程,采用全新的教学理念和教学方式,将语言与EDA工程技术有机结合,以实现良好的教学效果,同时大大缩短了授课时数。

2)教学中以电子线路设计为基点,从实例的介绍中引出VHDL语句语法内容。

在典型示例的说明中,自然地给出完整的VHDL描述,同时给出其综合后的RTL电路图及表现该电路系统功能的时序波形图。

通过一些简单、直观、典型的实例,将VHDL中最核心、最基本的内容解释清楚,使学生在很短的时间内就能有效地掌握VHDL的主干内容,并付诸设计实践。

而传统的方法大多数是按部就班地讲解所有的VHDL语句,包括许多很少用到的非RTL语句,影响了效率。

3)根据EDA技术课程实践性强的特点,设计由浅入深、自主创新等开放类实验项目。

各实验除给出详细的实验目的、原理、思考题和实验报告要求外,每个实验还包括多个实验项目(层次),即:

第一实验层次是与该课内容相关的验证性实验,提供了详细的设计程序和实验方法,使学生能有章可循,快速入门;第二实验层次是在上一实验基础上提出一些要求,让学生能做进一步的自主发挥;第三、第四实验层次属于自主设计或创新性质的实验,在其中给出实验的基本原理,实验功能,技术指标要求和设计提示等。

实验题目包括一些全国大学生电子设计竞赛的典型赛题、工程实际项目等。

4)综合相关因素,优化教学效果。

理论教学与创新实验相结合、创新能力培养与学生科技活动(包括电子设计竞赛)相结合、完成教学任务与适应人才市场专业需求相结合、和外校技术培训与经验交流相结合。

教师和学生,教学互动,教学相涨,在不断提高教学水平,优化实验方法的同时,有效克服教学与实验中出现的各种难题,不断将教学效果推向更好的新的高度。

 5)提高授课教师的理论水平和工程实践能力,通过大量的科研活动,包括鼓励教师积极参与各类横向和纵向科研项目,将教学实验和科研相结合,提高自身教学和科研能力。

 

 教学方法

1.多样化教学方法及其实施目的、过程、效果及学生规模

EDA技术发展快,涉及面广、实践性强,对教师和学生的要求较高。

掌握EDA技术,提高解决实际工程问题的能力需要一个不断积累、不断探索的过程。

在EDA技术教学方法上我们进行了革新,改变了过去以教师为中心、以课堂讲授为主、以传授知识为基本目的的传统教学模式,而采用教师讲授与学生实践相结合,理论知识与现实工程问题相结合的灵活的教学方式,留给学生充分的思考和实践时间,鼓励学生勤于思考,善于把握问题实质,不断提高自己解决实际问题的能力。

讲课时突出重点、难点,注重知识点重组。

既注重理论基础,又强调实践实用,即保持学术前沿性,又兼顾趣味性,师生互动。

教学中重视培养学生的运用知识,解决实际问题的能力,课程中引入大量的EDA实际例题,充分调动了学生的学习积极性。

实践表明,学生选修这门课的比例较高,参与电子竞赛的人数很多,取得的成绩是肯定的,这从我校历年参加全国数模大赛的成绩可见一斑。

教学中采用了多样化的教学方法,学生规模为215人/学期,多元化教学方法包括:

1)交互讨论式教学法:

为调动学生独立思考的积极性,理论课教学中教师或学生提出问题,师生之间、学生之间互动讨论,调动学生的积极参与性;在设计与综合实验中,教师引导学生讨论方案、方法等。

2)目标驱动教学法:

教师给出课外作业、实验项目及其目标,学生根据任务目标完成实验的各个环节,如资料查找、实验方案设计、仪器调试、实验结果测量与处理等。

这种方法使学生任务目标明确,充分发挥学生的自主性,有利于培养其独力工作能力。

3)研究式教学法:

采用研究的观点、研究的思路、研究的方法讲授课程内容,设置研究性的作业和实验项目。

4)现场不讲授只指导的方法:

对于学生能够独立完成的实验项目,指导教师不统一讲授,只对学生提出的问题进行答疑指导。

这种方法有利于学生自主学习,让学生独力思考,自主学习。

5)开放式自主实践教学法:

开放实验室,学生自主实验,达到自主学习的目的。

6)课外科技活动指导方法:

分小组进行实践活动,开展合作、研究性学习。

7)学生参与教师科研项目方法:

学生在学习过程中可参与教师的科研,教师指导其学习与研究。

8)网络辅导方法:

学生可通过网络与教师交流,教师通过网络进行辅导。

多样化实验教学方法主要体现为不同内容、不同对象、不同背景、不同项目情况下多种形式和多种方法的结合,其主要目的是以学生为中心,因材施教,因内容施教,充分激发学生的实践创新积极性,达到提高教学质量、实现创新能力培养的目的。

例如,在基础实验阶段,主要采取现场授课与指导方法;在学生掌握了基本技术与方法之后,在设计性、综合性、创新性实验中进行只指导不讲授或开放式自主实践方法;在理论课和综合实验中采用互动讨论的方法;安排课外作业或科技活动,则采用课外指导方法;目标驱动法则可充满各环节之中。

各种方法综合运用的目的是,培养学生自己获取知识的能力,让学生充分发挥主观能动性和创造性。

多元化教学方法尊重学生的个性和创造性,极大地调动了学生的学习积极性,产生了良好的效果。

实例之一是,在2001-2006年,我校学生在全国电子设计竞赛中共获奖24项,其中全国一等奖4项。

  参加该项选修课的学生,其综合素质和实践动手能力迅速提高,利用EDA技术解决实际问题的能力极大地增强;实例之二是,我校每年举办电子设计竞赛,目前EDA教学对象参加竞赛的比例达到80%以上。

2.教学手段-多媒体优化教学

EDA实验室隶属于我校的国家级电工电子实验中心,早在2001年就建设了独立的教学网络,网络上有EDA教学大纲、EDA子学课件、教师讲课录像等各种学习资源,学生可进行远程学习与接受辅导;建有多媒体教室,实验室配备了投影、实时摄像投影等多媒体教学设备,理论和实验教学均采用多媒体辅助教学,全面实现了CAI课件与网络课件的结合,计算机仿真实验与实际实验的结合,课堂教学与远程网上辅助教学的结合,现场实时摄像投影与教师演示的结合。

根据教学的不同阶段、不同内容和课内外情况,采用了上述多媒体优化实验教学。

例如,课前学生进行网上预习,课外可通过网络进行同学间、师生间的交流与辅导;实验课上讲授基本内容时采用CAI课件,演示仪器使用时采用实时摄像投影,学生在实验阶段采用计算机和网络辅助,遇到困难,除向教师询问外,还可自主到网上资源库查询。

多种媒体优化使用,以达到最佳效果。

 

 考核方法

通过多年的课程建设,建立了学生成绩考核体系和质量保证体系。

EDA技术的作业和考试主要考查学生以下两方面的能力和水平:

一是EDA技术基础知识的掌握,包括电路、HDL语言等;二是学生运用EDA工具解决实际工程问题的能力和水平。

考试成绩主要由书面考试、平时考核、实验考核、上机考试、课外实践、自主创新等几个方面的成绩综合而成,加大平时考核成绩比例,学生参加电子设计竞赛取得的成绩,可以奖励一定的学分。

例如,实验成绩注重实际能力的考察。

考核分为期末实验理论与操作考试(方案设计,仿真,电路搭试,调试实现,仪器设备,数据测试,数据处理,结果分析),平时考核(实验态度、实验能力、实验学时、自选实验、实验报告)、课外科技竞赛(完整性,规范性熟练性,创造性)。

具体规定为:

n       基础实验与设计实验成绩:

学生成绩=K*(B*笔试成绩+C*操作成绩)+P*平时成绩。

²      笔试成绩:

期末闭卷笔试,B=0.3;

²      操作成绩:

操作考试的项目随即抽取,C=0.7,K=0.7,P=0.3;

²      平时成绩=(∑(0.3Zi+0.4Gi+0.3Si))/实验个数,其中Zi为一次实验操作成绩,Gi为一次实验报告成绩,Si为一次实验课考勤成绩。

n       综合实验与创新实验成绩:

学生成绩=0.7Y+0.3BG,其中Y为对实验电路或“作品”的验收成绩,BG为实验报告或实验论文成绩。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2