电子科学与技术专业与微电子专业.docx

上传人:b****1 文档编号:1923316 上传时间:2023-05-02 格式:DOCX 页数:14 大小:72.54KB
下载 相关 举报
电子科学与技术专业与微电子专业.docx_第1页
第1页 / 共14页
电子科学与技术专业与微电子专业.docx_第2页
第2页 / 共14页
电子科学与技术专业与微电子专业.docx_第3页
第3页 / 共14页
电子科学与技术专业与微电子专业.docx_第4页
第4页 / 共14页
电子科学与技术专业与微电子专业.docx_第5页
第5页 / 共14页
电子科学与技术专业与微电子专业.docx_第6页
第6页 / 共14页
电子科学与技术专业与微电子专业.docx_第7页
第7页 / 共14页
电子科学与技术专业与微电子专业.docx_第8页
第8页 / 共14页
电子科学与技术专业与微电子专业.docx_第9页
第9页 / 共14页
电子科学与技术专业与微电子专业.docx_第10页
第10页 / 共14页
电子科学与技术专业与微电子专业.docx_第11页
第11页 / 共14页
电子科学与技术专业与微电子专业.docx_第12页
第12页 / 共14页
电子科学与技术专业与微电子专业.docx_第13页
第13页 / 共14页
电子科学与技术专业与微电子专业.docx_第14页
第14页 / 共14页
亲,该文档总共14页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

电子科学与技术专业与微电子专业.docx

《电子科学与技术专业与微电子专业.docx》由会员分享,可在线阅读,更多相关《电子科学与技术专业与微电子专业.docx(14页珍藏版)》请在冰点文库上搜索。

电子科学与技术专业与微电子专业.docx

电子科学与技术专业与微电子专业

电子科学与技术专业与微电子专业

硕1028班刘振昊学号3111032007

电子科学与技术

我本科时候的专业是电子科学与技术,这个专业是一个基础知识面宽、应用领域广阔的综合性专业,是信息产业的基础学科,涉及信息产业、国防军工、航空航天以及人们日常衣食住行等方方面面。

培养学生知识面非常广泛,不仅对数学、物理、化学、电子技术、计算机等基础知识有很高的要求,而且要求学生具备电子光子材料、器件与系统设计、器件与系统制造等方面的专业知识和技能,使学生能在固体电子学、光电子学、物理电子学、微电子学领域从事研究、教学、设计、开发、管理等工作或攻读硕士、博士学位。

专业培养目标是:

本专业培养具备物理电子、光电子与微电子学领域内宽广理论基础、实验能力和专业知识,能在该领域内从事各种电子材料、元器件、集成电路、乃至集成电子系统和光电子系统的设计、制造和相应的新产品、新技术、新工艺的研究、开发等方面工作的高级工程技术人才。

作为一门工学类的专业,电子科学与技术系的培养要求也很完善,本专业学生主要学习数学、物理、物理电子、光电子、微电子学领域的基本理论和基本知识,受到相关的信息电子实验技术、计算机技术等方面的基本训练,掌握各种电子材料、工艺、零件及系统的设计、研究与开发的基本能力。

该专业以电子器件及其系统应用为核心,重视器件与系统的交叉与融合,面向微电子、光电子、光通信、高清晰度显示产业等国民经济发展需求,培养在通信、电子系统、计算机、自动控制、电子材料与器件等领域具有宽广的适应能力、扎实的理论基础、系统的专业知识、较强的实践能力、具备创新意识的高级技术人才和管理人才,并掌握一定的人文社会科学及经济管理方面的基础知识,能从事这些领域的科学研究、工程设计及技术开发等方面工作。

微电子

微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。

微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺技术的总和。

微电子技术是高科技和信息产业的核心技术。

微电子产业是基础性产业,之所以发展得如此之快,除了技术本身对国民经济的巨大贡献之外,还与它极强的渗透性有关。

另外,现代战争将是以集成电路为关键技术、以电子战和信息战为特点的高技术战争。

微电子技术是随着集成电路,尤其是超大型规模集成电路而发展起来的一门新的技术。

微电子技术包括系统电路设计、器件物理、工艺技术、材料制备、自动测试以及封装、组装等一系列专门的技术,微电子技术是微电子学中的各项工艺技术的总和。

微电子技术是在电子电路和系统的超小型化和微型化过程中逐渐形成和发展起来的,第二次大战中、后期,由于军事需要对电子设备提出了不少具有根本意义的设想,并研究出一些有用的技术。

微电子技术相关行业主要是集成电路行业和半导体制造行业,它们既是技术密集型产业,又是投资密集型产业,是电子工业中的重工业。

与集成电路应用相关的主要行业有:

计算机及其外设、家用电器及民用电子产品、通信器材、工业自动化设备、国防军事、医疗仪器等。

目前中国微电子存在的主要问题有:

缺乏高标准和可持续发展的长远规划和措施以及建立微电子产业群体的目标;机制上不适应微电子产业自身发展的要求。

产业投资方式单一;投资和其它政策方面的决策太慢,使发展滞后;科研和产业严重脱节,而且科研和开发的投资严重不足;缺乏系统的市场战略。

国内市场被国外大公司瓜分。

对于有战略意义而且量大面广的如中央处理器(CPU)和存储器等关键芯片市场没有给予足够的重视和决心自主研制开发的决心。

整机设计开发与芯片厂脱节,产品不能配套生产;政策环境不适应现代化微电子产业的发展。

我国微电子企业资金有较大一部分是贷款,加之增值税过重,使得企业负担很重;微电子领域人才流失现象严重,缺乏吸引和激励人才的有效措施。

电子与微电子的交叉

电子科学与技术学科,下设有微电子学与固体电子学、物理电子学、电路与系统、电磁场与微波技术四个二级学科,硕士研究生按二级学科进行培养。

力求培养在新型电子材料与器件,新一代微波材料,铁电薄膜及铁电集成器件,新一代电子元器件,微纳米器件加工与检测技术,平板显示器件与技术,信息光子材料与器件,纳光子学理论与器件,集成光学和集成光电子学,现代真空电子器件与技术,能量转换与储存电子器件与技术等方面,掌握坚实的基础理论和系统深入的专业知识,具有很强的从事科学研究、系统设计、技术开发的能力,能把握研究方向的最新科技发展动态,高水平综合素质的电子科学与技术领域的高级专门人才。

按照二级学科分可以分为下面四个方向:

微电子学与固体电子学,物理电子学,电路与系统,电磁场与微波技术。

微电子学是电子系的一门分支学科。

具体到我们学校而言,微电子系是从06年左右从电子科学与技术系中分出来的一个系。

从此电子与科学技术系,更加偏向对于器件材料、固体电子学、光电子学、物理电子学等方面的研究,而微电子学更加重视对于片上系统、大规模集成电路、可编程逻辑器件、ASIC专用集成电路等的研究。

国际微电子发展的趋势是:

集成电路的特征尺寸将继续缩小,集成电路(IC)将发展为系统芯片(SOC)。

微电子技术和其他学科相结合将产生很多新的学科生长点,与其它产业结合成为重大经济增长点。

1999年中国集成电路的总消耗量折合人民币为436亿元,其中国产芯片的总量为83.8亿元人民币,占世界芯片产量的0.6%。

虽然中国微电子产业的发展有了很大进步,但与发达国家相比还很落后,生产技术总体上还有2代左右的差距。

国内集成电路需求的自给率很低,特别是技术含量高的产品,基本上依靠进口。

随着集成电路技术的发展,使整机、电路与元件、器件之间的明确界限被突跛,器件问题、电路问题和整机系统问题已经结合在一起,体现在一小块硅片上,这就形成了固体物理、器件工艺与电子学三者交叉的新技术学科一微电子学。

随着集成电路技术的广泛渗透和延拓,它将是一个更为广泛的边缘性学科。

 一言以蔽之:

微电子技术是信息社会的基石。

实现信息化的网络及其关键部件不管是各种计算机还是通讯电子装备,它们的基础都是集成电胳。

微电子中比较重视对于电路的设计,因此在SOC课上面的学习中,就能深深的体会到,通过使用Modelsim软件将VHDL语言或者Verilog语言转换成可综合的电路。

可以通过前仿真验证是否正确进行了设计。

但是要做成成品还远远不够。

我们需要在研究过所使用的器件的响应时间等来,做成真正的ASIC专用集成电路。

而问题就在这里产生了。

在后仿真中各种门电路并不是想象中的按照功能仿真(functional)来运行的,只要有门电路,就会有误差,因此对比时序仿真和功能仿真就能得出这样的结论,对于高速的集成电路设计,器件的频率响应时间是一个重要的瓶颈。

而提高器件的响应时间,尽量让器件的响应时间缩短,以至最后接近功能仿真的结果,一直以来是电子系研究的一个重点课题。

研究材料的晶体结构,改良CMOS器件的性能等等,都成为了电子与微电子的交叉内容。

可以断言没有器件上性能提高,电路设计也不可能得到长足的稳定发展,器件的将成为微电子电路设计上的一块绊脚石。

而反过来说,微电子学的发展进步,更加使科技与人的生活接近,更加注重产业化的结果是让器件材料的研究更加有目的性和方向性,从而推动电子系的发展。

 

作业1ALU:

程序:

modulealu(sum,cout,a,b,cin,m);

output[3:

0]sum;

outputcout;

input[3:

0]a,b;

inputcin,m;

wirec4,cn,cout1;

wire[3:

0]sum1,a2;

assigna2[0]=(b[0]&~m)|(~b[0]&m);

assigna2[1]=b[1];

assigna2[2]=(b[2]&~m)|(((~b[2]&b[1])|(b[2]&~b[1]))&m);

assigna2[3]=(b[3]&~m)|(~b[3]&~b[2]&~b[1]&m);

assign{c4,sum1}=a+a2+cin;

assigncn=c4|(sum1[3]&sum1[2])|(sum1[3]&sum1[1]);

assign{cout1,sum}=sum1+{1'b0,cn,cn,1'b0}+1'b0;

assigncout=cn;

endmodule

测试程序:

moduletest;

reg[3:

0]ta,tb;

regtc,tm;

wire[3:

0]tsum;

wiretcout;

alutalu(tsum,tcout,ta,tb,tc,tm);

initial

$monitor($time,"c_out=%d,sum%d=%d+%d+%d,m=%d",tcout,tsum,ta,tb,tc,tm);

initialbegin

ta=4'b1001;tb=4'b1000;tc=1'b0;tm=1'b0;

#10ta=4'b1001;tb=4'b1001;tc=1'b1;

#10ta=4'b0111;tb=4'b0010;tc=1'b0;

#10tm=1'b1;ta=4'b0111;tb=4'b0010;tc=1'b1;

#10ta=4'b0111;tb=4'b0100;tc=1'b1;

#10ta=4'b0101;tb=4'b0010;tc=1'b1;

#10$finish;

end

endmodule

作业2利用1MHz的时钟,设计一个单周期形状如下的周期波形,并完成该模块及测试模块的编写,同时画出仿真测试及结果波形。

程序:

`timescale1ns/1ns

moduleclk_generator(clk_in,ngreset,clk_out);

inputclk_in;//inputclock

inputngreset;//resetsignal,lowenable

outputclk_out;

regclk_out;

reg[3:

0]count;

always@(posedgeclk_inornegedgengreset)

begin

if(~ngreset)

begin

clk_out<=1'b0;

count<=4'h0;

end

else

begin

if(count<=4'd9)

begin

clk_out<=1'b0;

count<=count+1'b1;

end

elseif((count>4'd9)&&(count<4'd14))

begin

clk_out<=1'b1;

count<=count+1'b1;

end

elseif(count==4'd14)

begin

clk_out<=1'b1;

count<=4'h0;

end

else

begin

clk_out<=clk_out;

count<=4'h0;

end

end

end

endmodule

测试程序:

`timescale1ns/1ns

`defineclk_cycle500

moduletest_clk_generator;

regclk_in;

regngreset;

wireclk_out;

initialbegin

ngreset=1'b1;

clk_in=1'b0;

#1000ngreset=1'b0;

#1100ngreset=1'b1;

#100000$stop;

end

always#`clk_cycleclk_in=~clk_in;

clk_generatorclk_generator_component(

.clk_in(clk_in),

.ngreset(ngreset),

.clk_out(clk_out)

);

Endmodule

波形

作业3设计一个带控制端的逻辑运算电路,采用调用函数的方式,分别完成正整数的平方、立方和阶乘的运算。

编写测试模块,并给出仿真波形。

modulemyfunction(n,result,sl);

output[6:

0]result;

input[2:

0]n;

input[1:

0]sl;

reg[6:

0]result;

always@(slorn)

begin

case(sl)

2'b00:

result<=square(n);

2'b01:

result<=cubic(n);

2'b11:

result<=factorial(n);

endcase

end

function[6:

0]square;

input[2:

0]operand;

begin

square=operand*operand;

end

endfunction

function[6:

0]cubic;

input[2:

0]operand;

begin

cubic=operand*operand*operand;

end

endfunction

function[6:

0]factorial;

input[2:

0]operand;

reg[2:

0]index;

begin

factorial=1;

for(index=2;index<=operand;index=index+1)

factorial=index*factorial;

end

endfunction

endmodule

测试程序:

`timescale1ns/1ns

moduletestyunsuan;

reg[2:

0]n;

reg[1:

0]sl;

wire[6:

0]result;

initial

begin

sl=2'b0;n=2'b10;

#20sl=2'b1;n=2'b11;

#20sl=2'b11;n=3'b100;

end

myfunctionmyfunct(.n(n),.result(result),.sl(sl));

endmodule

波形结果:

分别显示:

2的平方是4;3的立方是27,4的阶乘是24.

作业4比较阻塞型赋值与非阻塞型赋值

阻塞性赋值

程序:

moduleblocking(clk,data,a,b);

inputclk,data;

outputa,b;

rega,b;

always@(posedgeclk)

begin

a=data;

b=a;

end

endmodule

测试:

`timescale1ns/1ns

moduletestblocking;

regclk_in;

regdata_in;

wirea,b;

initial

begin

clk_in=1'b0;

data_in=1'b0;

#15data_in=1'b1;

#20data_in=1'b0;

#30data_in=1'b1;

#50$stop;

end

always#5clk_in=~clk_in;

blockingtest(.clk(clk_in),.data(data_in),.a(a),.b(b));

endmodule

仿真:

非阻塞:

程序:

modulenonblocking(clk,data,a,b);

inputclk,data;

outputa,b;

rega,b;

always@(posedgeclk)

begin

a<=data;

b<=a;

end

endmodule

测试:

`timescale1ns/1ns

moduletestnonblocking;

regclk_in;

regdata_in;

wirea,b;

initial

begin

clk_in=1'b0;

data_in=1'b0;

#15data_in=1'b1;

#20data_in=1'b0;

#30data_in=1'b1;

#50$stop;

end

always#5clk_in=~clk_in;

nonblockingtest(.clk(clk_in),.data(data_in),.a(a),.b(b));

endmodule

结果:

可以看出:

对于阻塞型赋值,阻塞性过程语句实在其后所有的语句执行前执行的,即在下调语句执行值之前该赋值语句必须已经完全执行完毕,从波形图中也可以看出。

对于非阻塞性过程语句,对目标的赋值是非阻塞的(由于延时),但是可以被预定在将来的摸个时刻进行执行,当非阻塞性过程语句执行时,计算右侧的表达式,然后在预定的时刻将右侧的值赋给左式的目标,并继续执行下一条语句。

波形图中也可以看出。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 初中教育 > 语文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2