象棋竞赛计时器的设计doc修改版.docx

上传人:b****1 文档编号:2410258 上传时间:2023-05-03 格式:DOCX 页数:15 大小:59.82KB
下载 相关 举报
象棋竞赛计时器的设计doc修改版.docx_第1页
第1页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第2页
第2页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第3页
第3页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第4页
第4页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第5页
第5页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第6页
第6页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第7页
第7页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第8页
第8页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第9页
第9页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第10页
第10页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第11页
第11页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第12页
第12页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第13页
第13页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第14页
第14页 / 共15页
象棋竞赛计时器的设计doc修改版.docx_第15页
第15页 / 共15页
亲,该文档总共15页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

象棋竞赛计时器的设计doc修改版.docx

《象棋竞赛计时器的设计doc修改版.docx》由会员分享,可在线阅读,更多相关《象棋竞赛计时器的设计doc修改版.docx(15页珍藏版)》请在冰点文库上搜索。

象棋竞赛计时器的设计doc修改版.docx

象棋竞赛计时器的设计doc修改版

课程设计

课程名称数字电子技术

课题名称象棋竞赛计时器设计

专业电气工程及其自动化

班级电气0705班

学号0524

姓名贾磊

指导教师陈意军

2009年11月11日

前言………………………………………………………………3

第一章计数器概述………………………………………………4

1.1计时器的特点及应用……………………………………4

1.2设计任务及要求…………………………………………5

第二章电路设计原理及单元模块…………………………………6

2.1设计原理…………………………………………………6

2.2设计方案…………………………………………………7

2.3单元模块………………………………………………8

2.3.18421BCD码递减计数器模块……………………8

2.3.2时钟模块………………………………………15

2.3.3辅助时序控制模块……………………………16

2.3.4译码显示模块…………………………………17

2.3.5开关控制模块…………………………………19

第三章硬件安装与调试…………………………………………20

3.1电路的安装………………………………………………20

3.2电路的调试………………………………………………21

第四章实验体会…………………………………………………22

结论………………………………………………………………23

附录…………………………………………………………………24

前言

电子课程设计是电子技术学习中非常重要的一个环节,是将理论知识和实践能力相统一的一个环节,是真正锻炼我们学生能力的一个环节。

在许多领域中计时器均得到普遍应用,诸如在体育比赛,定时报警器、游戏中的倒时器,交通信号灯、红绿灯,由此可见计时器在现代社会是何其重要的。

在象棋比赛中,甲乙二人在3小时规定用时后开始读秒,甲乙读秒分别为30秒倒计时,每隔一秒,计数器减一。

甲30秒用时完后,乙自动切换。

以数字形式显示倒计时时间。

本设计主要能完成:

显示3时00分00秒和30秒倒计时功能;系统设置外部操作开关,控制计时器的直接清零、置数、启动和暂停功能;3时00分00秒计时器为递增计时,其计时间隔为1秒;30秒计时器为递减计时,计时器走到到零时,数码显示器显示00,同时发出蜂鸣报警信号和数码显示灯闪烁。

整个电路的设计借助于EWB5.0仿真软件和数字逻辑电路相关理论知识,并在EWB5.0下设计和进行仿真,得到了预期的结果。

第一章计时器概述

1.1计时器的特点及应用

随着社会文明的进步和科学技术的发展,先进的电子技术在各个近代学科门类和技术领域占有不可或缺的核心地位。

在我国现代化建设的发展进中数字电子技术在国民经济和科学研究各个领域的应用也越来越广泛,而计时器恰恰是数字电子技术的一个重要组成部分,计时器是一个用来实现计数功能时序部件,它不仅可以用来计脉冲个数,还常用来做数字系统的定时、分频执行数字运算,以及其他特定的逻辑功能等等。

计时器的种类很多。

按构成计时器的各触发器是否使用同一个时钟脉冲源来分,可以分为同步计时器和异步计时器。

根据计时制的不同,可以分为二进制、十进制和任意进制计时器。

根据计时器的增减趋势,又可以分为加法、减法和可逆计时器。

还有可预置数和可编程序功能计时器等等。

目前,无论是TTL还是CMOS集成电路,多有品种较齐全的中规模集成计时器,使用者只要借助于期间手册提供的功能表和工作波形图及管脚图排列,就能正确地使用这些器件。

1.2设计任务及要求

(1)具有显示3时00分00秒和30秒倒计时功能;

(2)甲乙在3小时公共用时后,开始读秒;甲乙读秒分别为30秒倒计时,每隔一秒,计数器减一。

甲30秒用完后乙自动切换。

以数字形式显示倒计时时间。

(3)系统设置外部操作开关,控制计时器的直接清零、置数、启动/连续、暂停等功能;

(4)计时器为3时00分00秒递增和30秒秒递减计时,其计时间隔为1秒;

(5)30秒计时器递减到零时,数码显示器闪烁,同时发出蜂鸣报警信号。

1.2.2设计任务及目标:

(1)设计思路清晰,整体设计给出框图,提供总电路图

(2)单元模块设计,给出具体设计思路和电路;

(3)给出单元模块电路,总电路图的仿真结果

(4)进行电路的装接、调试,直到电路能达到规定的设计要求;

(5)写出完整、详细的课程设计报告。

1.2.3主要参考器件:

NE555

(1)74LS192(7)74LS00

(2)74LS08

(1)74LS02

(1)

74LS32

(2)74LS04

(2)数码显示灯1个数码管7个

第二章电路设计原理与单元模块

2.1设计原理

总体参考方案框图如下图所示。

它包括秒脉冲发生器、计数器、译码显示电路、报警电路和辅助时序控制电路(简称控制电路)等模块组成。

其中计数器和控制电路是系统的主要模块。

计数器完成3小时00分00秒和30秒倒计时功能,而控制电路完成计数器的直接清零、启动计数、置数、暂停/连续计数、译码显示电路的显示与定时时间到报警等功能。

秒脉冲发生器产生的信号是电路的时钟脉冲和定时标准,但本设计对此信号要求并不太高,故电路可采用555集成电路或由TTL与非门组成的多谐振荡器构成。

译码显示电路由74LS48和共阴极七段LED显示器组成。

报警电路在实验中可用数码显示灯和蜂鸣器构成。

2.2设计方案

分析设计任务书,计数器和控制电路是系统的主要部分。

计数器完成3h和30s倒计时功能,而控制电路具有直接控制计数器的启动计数、暂停/连续计数、译码显示电路的显示功能。

为了满足系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。

在操作直接清零开关时,要求3h计数器直接清零。

当公共3小时走完之后,时间定格在3时00分00秒保持不变,于是想到将显示3小时的那个芯片的输出端Qa和Qb通过一个与非门之后的输出再和脉冲CP进行逻辑与运算,将结果作为秒个位脉冲的输入这样就可做到数码管显示3时00分00秒的字样时停止计数。

之后是自行启动30秒倒计时。

将上文所说的Qa和Qb连到与非门的输入端,然后将输出的结果再通过反向器求反,从反向器的输出端引一条线与脉冲CP通过与门之后输出到30秒的秒个位的脉冲输入端,即CPd,这样就可实现3小时过完之后30秒倒计时自行启动。

最后是控制电路的设计,考虑到一般的开关在开关闭合和断开的时候会有跳动导致接触不良的现象,所以在本实验中采用RS触发器构成的单刀双掷防抖动开关即可排除开关抖动的干扰,具体原理下文有详细论述在此不再赘述。

2.3单元模块

2.3.18421BCD码递减计数器模块

计数器选用汇总规模集成电路74LS192进行设计较为简便,74LS192是十进制可编程同步加减计数器,它采用8421码二-十进制编码,并具有直接清零、置数、加减计数功能。

图2-3是74LS192外引脚及时序波形图。

图中

分别是加计数、减计数的时钟脉冲输入端(上升沿有效)。

是异步并行置数控制端(低电平有效),

分别是进位、借位输出端(低电平有效),CR是异步清零端,D3-D0是并行数据输入端,Q3-Q0是输出端。

74192的功能表见下表2-1所示。

其工作原理是:

=1,CR=0时,若时钟脉冲加到

端,且

=1则计数器在预置数的基础上完成加计数功能,当加计数到9时,

端发出进位下跳变脉冲;若时钟脉冲加到

端,且

=1,则计数器在预置数的基础上完成减计数功能,当减计数到0时,

端发出借位下跳变脉冲。

图2-374LS192外引脚及时序波形图

表2-174LS192功能表

输入

输出

清零

置数

加计数

减计数

数据

Q0

Q1

Q2

Q3

CR

LD`

CPu

CPD

D0

D1

D2

D3

1

×

×

×

×

×

×

×

0

0

0

0

0

0

×

×

d0

d1

d2

d3

d0

d1

d2

d3

0

1

1

×

×

×

×

递减计数

0

1

1

×

×

×

×

递增计数

0

1

1

1

×

×

×

×

保持

当CPD=1,计数脉冲从CPu送入,则在CP上升沿的作用下,计数器进行加计数,加到9后,借位输出端

=0

当CPu=1时,计数脉冲从CPD送入,则在CP上升沿的作用下,计数器进行减计数,减到0时,借位输出端

=0

由74LS192构成的六十进制递减计数器如下图所示

其计数过程如下:

芯片的顺序从左到右定为1、2、3、4、5第5个芯片的UP管脚输入的CP是由第1个芯片的QA和QB与非的结果再与频率为1HZ的脉冲逻辑与得到的结果,这样当计时器计时到3小时00分00秒时第5个芯片的UP端输入的脉冲为0这样就停止了脉冲的输入进而启动30秒倒计时的计数。

其进位方式如下:

第5个芯片从0走到9时其进位输出端

产生一个0作为第四个芯片的脉冲输入,由于要设计的六十进制的计数器而74LS192时10进制加减计数器,于是将第4个芯片的QB和QC进过一个与门连到芯片的CR清零端这样当计数器走到6时QB和QC逻辑与过之后产生一个1计数器清零这样就构成了一个60进制的计数器,然后将第4个芯片的QB和QC逻辑与过之后的结果作为第3个芯片的脉冲输入,这样秒位每走60秒就向分的个位进1。

以下类推即可。

由74LS192构成的三十进制递减计数器如下图2-4所示

CP输入↓

图2-48421BCD三十递减计数器

其预置数为N=(00101001)=(29)10。

它的计数原理是:

只有当低位

端发出借位脉冲时,高位计数器才作减计数。

当高、低位计数器处于全零,且

为0时,置数端

=0,计数器完成并行置数,在

端的输入时钟脉冲作用下,计数器再次进入下一循环减计数。

其计数过程如下:

时间走到3小时00分00秒时停止不动,30秒倒计时启动,将显示3小时的第一个芯片的QA和QB与非的结果再非一下然后再和脉冲CP就行逻辑与运算作为30秒倒计时的秒个位的脉冲输入端,这样做就可以实现时间走到3时00分00秒停止不动而30秒倒计时启动,这时秒个位就从9开始计数倒0然后再从9到0循环往复每次走到0时,借位输出

就输出一个0作为秒十位的脉冲输入,秒个位每进行一次循环秒十位就减1,对于预置数则是将秒个位芯片的输入A和D接1,B和C接地;秒十位的B接1其他接0,这样预置数为29,对于30进制的设定则是将秒十位的QC和QD通过一个与非门连到芯片的

,这样就连成了一个30进制计数器。

2.3.2时钟模块

为了给计数器74LS192提供一个时序脉冲信号,使其进行减计数,本设计采用555构成的多谐振荡电路(即脉冲产生电路),其基本电路如图2-6示.

其中555管脚图如下图2-5示.由555工作特性和其输出周期计算公式可知,其产生的脉冲周期为:

T=0.7(R1+2R2)C

因此,我们可以计算出各个参数通过计算确定了R1取15k欧姆,R2取68k欧姆,电容取C为10uF、C1为0.1uF,.这样我们得到了比较稳定的脉冲,且其输出周期为1秒.

图2-5555管脚图

图2-6555多谐振荡电路图

2.3.3辅助时序控制模块

为了保证系统的设计要求,在设计控制电路时,应正确处理各个信号之间的时序关系。

从系统的设计要求可知,控制电路要完成以下四项功能:

①操作“直接清零”开关时,要求3h计数器可直接清零。

②闭合“启动”开关时,计数器开始计数,显示器显示0时00分00秒字样,然后在时钟频率1HZ脉冲的驱动下以1秒的时间间隔递增。

③当“暂停/连续”开关处于“暂停”位置时,控制电路封锁时钟脉冲信号CP,计数器暂停计数,显示器上保持原来的数不变,“暂停/连续”开关处于“连续”位置时,计数器继续累计计数。

④当计数器递增到零3时00分00秒(即定时时间到)时,30秒倒计时启动。

而3小时00分00秒不变。

当30秒递减到00时,报警电路工作,发出报警信号。

2.3.4译码显示模块

此模块主要是由74LS48译码器和共阴极七段LED显示器组成,通过计数器加到译码器,从而实现共阴极七段LED显示器从30递减到零的计数显示功能。

✓1.74LS48是七段显示译码器,其管脚图如下图2-9所示。

现将各管脚功能介绍一下:

1A、B、C、D是BCD码的输入端;

1a,b,c,d,e,f,g是输出端;

1试灯输入端

低电平有效。

=0时,

数码管的七段应全亮,与输入的译码信号无关。

本输入端用于测试数码管的好坏;

1动态灭零输入端

低电平有效。

=1、

=0、且译码输入为0时,该位输出不显示,即0字被熄灭;当译码输入不全为0时,该位正常显示。

本输入端用于消隐无效的0。

如数据0034.50可显示为34.5;

1灭灯输入/动态灭零输出端

这是一个特殊的端钮,有时用作输入,有时用作输出。

作为输入使用,且

=0时,数码管七段全灭,与译码输入无关。

当RBOBI/作为输出使用时,受控于

=1且

=0时,

=0;其它情况下

=1。

本端钮主要用于显示多位数字时,多个译码器之间的连接。

图2-974LS48管脚图

✓2.共阴极七段LED显示器是较常用的显示数码管,但在使用时要注意的是:

1.看清楚自己用的数码管是共阴极还是共阳极的(实验室提供共阴极

的),其管脚图如下图2-10所示。

2.还要注意在数码管电路上加上一保护电阻,起限电流的作用。

图2-10共阴极七段LED显示器管脚图

2.3.5开关控制模块

本实验中采用RS触发器构成的防抖动开关来控制3小时计数器的直接清零、启动、暂停和30秒倒计时的中间置数。

当开关S在两个触头之间来回跳动时,其输出端Q和Q′的输出波形如下图所示:

由输出端得波形可知:

当开关在接触点跳动的时,输出端输出的是稳定的1和0,不会发生接触

第三章硬件安装与调试

3.1电路的安装

本实验硬件安装平台为SZ-EC电子综合实验仪。

其组成部分为十六位LED、、8*8点矩阵、IC扩展模块、电源模块(±5V±12VAC14VAC16VAC18V)、时钟源(提供1HZ-24MHZ各种频率)、单脉冲源(每次产生一个单脉冲,可用于防抖动开关)、芯片插槽、手动单刀双掷开关、逻辑高电平1和逻辑低电平0、可变电阻3个(0-10K欧姆0-100K欧姆0-1M欧姆)、电位器、8个静态显示数码管等

◆安装3小时电路

将5片74ls192安装在面板上方,根据设计的电路图将它们的管脚连接好。

将4片74ls192接成2个60进制的加法器与1片74LS192连接起来并接入数码管,从而实现3小时的计数功能。

接74LS00和74LS08来控制CP的输入以实现计数器走了3小时后时间显示定在3时00分00秒。

◆安装30秒倒计时电路。

将2片74ls192安装在面板下方,并且连接成30进制,而且同数码管连接起来,调制30秒电路的递减过程。

根据电路图,将74ls02和74LS32布置在面板上,为实现30秒倒计到00时介入的报警器报警作准备。

◆安装控制电路。

安装和调试CP控制电路,接入74ls00,当3小时计数完成时,禁止其CP输入,同时放行30秒倒计时电路的CP输入。

◆安装30秒的复位电路。

按接线图布置好所需芯片的位置,接线并进行调试,使对方开始30秒倒计时时,本方复位到30秒。

◆安装清零电路。

将所有的显示3小时的74ls192置数端(5个数码管的输入端ABCD都接零)接在一个开关S1上,其中S1一端接地一端接高电平,S1打到高电平时,由数码管显示为5个零。

3.2电路的调试

调试时应小心谨慎,电路安装完毕后,首先应检查电路各部分的接线是否正确,检查电源、地线、信号线、元器件的引脚之间有无短路,器件有无接错。

再接入电路所要求的电源电压,观察电路中各部分器件有无异常现象。

如果出现异常现象,应立即关断电源,待排除故障后方可重新通电。

通电之后发现在1HZ脉冲的驱动下,秒的个位一直显示0的字样而不跳动经检查线路的连接没有任何问题完全准确,只是清零端CR悬空,因为芯片的CR只有来高电平1的时候才清零,在理论上悬空时可以的,但是考虑实际的情形空气种可能有带电的微粒存在,所以经过讨论将CR端用一根线引出来后接地,再次通电发现秒的个位在1HZ脉冲的作用下每隔1秒跳动一次跳到9时向高位进一位接着又从0开始跳动,如此循环往复下去。

第四章实验体会

本次课设是本人到目前为止觉得最有意义也是收获最大的一次实习。

身为电子系的学生,设计是我们将来必需的技能。

而这次课设恰恰给我们提供了一个应用自己所学知识的平台。

从通过理论设计,到仿真软件仿真,再到确定具体方案,再到安装实际电路,最后到调试电路、成型。

整个过程都需要我充分利用所学的知识进行思考、借鉴。

可以说,本次课设是针对前面所学的知识进行的一次比较综合的检验。

总的来说,这次课设虽然累,但非常充实。

在这次实习中,正确的思路是很重要的,只有你的设计思路是正确的,那你的设计才有可能成功。

因此我们在设计前必须做好充分的准备,认真查找详细的资料,为我们设计的成功打下坚实的基础。

如果说前面电路的理论设计是一件多么令人头痛的事,那么安装过程则是一个考验人耐心的过程,对电路的安装、分析、调试要一步一步来,不能急躁。

因为是在仿真软件上较理论上还是存在一定的差距,仿真能出来结果的在实际电路不一定就能出来,这就需要我们有耐心,寻找一个比较正确的调试方法。

要做好本次的课程设计,熟练地掌握课本上的理论知识是前提。

这样才能对试验中出现的问题进行一定的分析和解决。

当然能完成本次设计,更离不开老师的指导,老师能在百忙中来指导本人,使本人能更好地完成设计。

总之,感谢老师的指导!

结论

本设计主要通过模块化思想,逐步实现设计所需达到的功能要求:

时钟模块为减计数提供一个频率为1Hz的脉冲信号,从而实现计数器计数间隔为1秒钟;

计数、译码显示模块主要是为了达到能显示加计数功能;

报警模块是为了实现当减计数到零时发出蜂鸣报警信号;

控制模块主要是为了实现计时器的启动、直接清零和暂停/连续功能通过暂停/连续开关从而实现断点计时功能。

至此,本设计能完成所有任务及要求。

附录

名称

型号

领取数量(个)

四2输入与非门

74LS00

2

四2输入或门

74LS32

2

四2输入正与门

74LS08

1

六反向器

74LS04

2

十进制同步加/减计数器

74LS192

7

4线-8线译码器

74LS48

7

数码管

7

开关

2

电阻

10K

2(改进电路后未用)

CP产生器

555芯片

硬件调试结果:

参考文献

1.《数字电子技术基础》(第五版)清华大学电子学教研组编阎石主编高等教育出版社;

2.《电子技术基础实验与仿真》陈意军、郭照男、孙胜麟编;

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 能源化工

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2