数字钟EDA实验报告Word文件下载.docx

上传人:b****1 文档编号:423132 上传时间:2023-04-28 格式:DOCX 页数:18 大小:370.23KB
下载 相关 举报
数字钟EDA实验报告Word文件下载.docx_第1页
第1页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第2页
第2页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第3页
第3页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第4页
第4页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第5页
第5页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第6页
第6页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第7页
第7页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第8页
第8页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第9页
第9页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第10页
第10页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第11页
第11页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第12页
第12页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第13页
第13页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第14页
第14页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第15页
第15页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第16页
第16页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第17页
第17页 / 共18页
数字钟EDA实验报告Word文件下载.docx_第18页
第18页 / 共18页
亲,该文档总共18页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

数字钟EDA实验报告Word文件下载.docx

《数字钟EDA实验报告Word文件下载.docx》由会员分享,可在线阅读,更多相关《数字钟EDA实验报告Word文件下载.docx(18页珍藏版)》请在冰点文库上搜索。

数字钟EDA实验报告Word文件下载.docx

五实验感想……………………………………………………………………16

六参考文献……………………………………………………………………18

正文

一、设计要求

基本要求:

1、能进行正常的时、分、秒计时功能;

2、分别由六个数码管显示时分秒的计时;

3、K1是系统的使能开关(K1=1正常工作,K1=0时钟保持不变);

4、K2是系统的清零开关(K2=1正常工作,K2=0时钟的分、秒全清零);

5、K3是系统的校分开关(K3=0正常工作,K3=1时可以快速校分);

6、K4是系统的校时开关(K4=0正常工作,K4=1时可以快速校时);

二、方案论证

1、总体电路图及简单说明

说明:

K1=0时保持,K1=1时正常工作;

K2=0时清零,K2=1时正常工作;

K3=0时正常计时,K3=1时给基本电路快速校分;

K4=0时正常计时,K4=1时给基本电路快速校时;

K5=0时显示基本电路计时;

K6=0时正常计时.

2、基本电路的工作原理:

整个时钟的基本电路由1hz频率发生器、计时电路、校分电路、校时电路、动态显示电路和报时电路组成。

其中计时电路是由计数器组成,秒位分位是模60的计数器,时位是模24的计数器,秒个位对由分频电路提供1hz的脉冲进行计数,形成时钟;

校分电路是在分位用一个1hz的脉冲替换秒十位的进位脉冲,使计时器在1hz的脉冲的作用下计数,达到校分效果;

校时电路的原理与校分电路相同;

动态显示电路是由数据选择器、译码器、数码管以及一个用于控制的计数器组成,用模6计数器将时、分、秒的各位依次选通到对应的数码管,当闪烁频率大于人眼的分辨频率时,就能动态显示了;

报时电路是由分频器和蜂鸣器组成,以提供高低两个频率,在59’53”,59’55”,59’57”时使用500hz的频率报时,在59’59”时用1khz的频率报时;

清零电路只要控制计数器的清零端就可以实现,但动态显示电路的清零端不能清零,否则结果6个数码管中只有最后一个显示零,其他都没有显示;

保持电路是通过控制计时电路的使能端实现的,当给使能端低电平时计数器停止工作,但由于动显电路任然正常工作,则显示保持计数器停止工作前的示数。

3、整个时钟原理框图如下:

三、各子模块的设计

(一)分频器模块

系统给定脉冲频率是48MHz,,而本次实验需要多种频率的脉冲,如1khz、500hz、1hz,则需设计分频电路,对48MHz进行分频。

1、第一种方法是先对其进行24分频再进行2分频,则可以实现48分频,然后进行两次1000分频。

24分频的实现方法是用两片74160,用置数法实现时,在23时进行置数,即第一片为0011第二片为0010时置数,所以置数信号是b6&

a5&

b5,由于置数信号低电平有效还要加一反相器;

二分频电路是利用T触发器来实现的;

1000分频的实现方法是用3片74160进行模1000计数,但前一片对后一片的进位信号要用QD输出信号,因为如果用RCO信号作为进位信号时很容易产生冒险,电路不可靠;

要得到1000Hz的脉冲,只要对48分频后的脉冲进行1000分频,500Hz是再进行2分频。

电路图如下:

二分频电路及对应波形图:

48分频电路及对应波形图:

1000分频电路:

总的分频电路图:

封装后的电路图如下:

(二)计时器模块

1、总述:

由2个模60计数器和1个模24计数器构成秒、分、时位的计数。

用6片74160构成模10计数器,分别作为秒个位,秒十位;

分个位,分十位;

时个位和时十位。

其中秒位和分位为模60计数器,时位为模24计数器,采用异步计数的方式。

总电路图如下:

2、秒位的实现:

当秒个位计到9,下一个脉冲产生时,该计数器置数端置为0,并将秒个位的进位端的进位脉冲进到秒十位的使能端,秒十位随着脉冲开始计数;

当秒位计到59秒,下一个脉冲产生时,秒位计数器都置位为0,并将秒十位的进位端的进位脉冲通过非门后,给分个位计数器一个计数脉冲,分个位随着脉冲开始计数。

en对应于使能端,用于实现保持,cla为清零端,用于实现清零。

3、分位的实现:

分位计数原理同秒位。

4、时位的实现:

当分位和秒位计到59分59秒,下一个脉冲到来时,秒、分计数器都置位为0,并将分十位的进位端的进位脉冲通过非门后,给时个位计数器一个计数脉冲,时个位随着脉冲开始计数。

当秒位,分位和时位计数器计到23时59分59秒后全部置数0,计时重新开始。

(三)校分校时电路模块

用开关来控制校分校时电路,当开关断开时,时钟正常计时,当开关闭合时,用频率为1Hz的脉冲来控制时或分的计时,从而达到快速校分校时的目的。

K4是系统的校时开关(k4为0时正常计时,k4为1时快速校时);

K3是系统的校分开关(k3为0时正常计时,k3为1时快速校分)。

较分较时电路的实现实际上是用开关控制分位和时位对正常进位信号或者1hz的频率进行计数,如果对1hz进行计数,就能达到较分较时的目的。

这部分电路主要由数字逻辑电路实现。

D触发器用来去抖动的,在加上之前,发现有时电路较分较时正常,但有时会乱跳。

较分较时电路实现时主要要注意较分较时时不影响其他位的正常计数。

当较分开关起作用时模拟波形图:

(四)保持清零的实现

1、保持主要是控制技术电路的使能端,用开关k1控制,k1=1时正常工作,k1=0时停止计数,数码管西安市的是停止前的时间;

2、清零也是控制计数器的清零端,k2=1时正常计数,k2=0时清零。

(五)报时电路模块

整点报时:

蜂鸣器在59分51、53、55、57秒的时候发出低音,在59秒的时候发出高音。

低音对应频率为500Hz,高音对应于1000Hz,这部分已经由分频电路实现。

总体电路图如下:

内部电路图:

2、低音的实现:

对应于总电路图中上半部分。

3,5,7,9用二进制表示为,0011,0101,0111,1001,可见其Q1位均为1。

用卡诺图进行化解得:

00

01

11

10

1

另外59’对应的二进制码为0101、1001,则总的实现方法是

1a&

~1d&

(1bor1c)&

4a&

4c&

3a&

2a&

2c;

(前面数字第几片74160,如第一片是秒个位,a、b、c、d表示每片的输出)

2、高音的实现:

对应于总电路图中下半部分。

59分的实现方法不变,但59秒对应的二进制码是0101、1001,则实现方法是4a&

2c&

1d&

1a;

(前面数字第几片74160,如第一片是秒个位,a、b、c、d表示每片的输出)

(六)显示模块

动态显示每个时刻只有1个数码管在工作,各个数码管依次轮流工作。

利用模6快速扫描产生的地址值作为使能控制信号,由于数码管依次显示的频率较高,而人眼存在视觉暂留,分辨不出数码管是闪烁的。

与此同时,需要显示的数据被送到显示译码器译码后送入数码管,但因为此时只有一个数码管在工作,所以工作数码管显示正确数据。

共分位两部分,上部分用绿框标除的电路图用于产生位码,下边两部分用于产生段码,总电路图如下:

如上图所示,显示译码电路由一个24选4数据选择器构成、一片显示译码器7447和一片译码器74138构成。

此处,位码选择信号必须与数据选择信号同步。

2、数据选择器的说明:

24路数据选择器由4片74151实现的,74151是8路数据选择器,现在每片只需要从6路中选一路,则最后两路可以接高电平,给4片74151相同的输入,就可以选择同一片74160产生的信号,这样就能产生基本计时电路的段码。

四、调试下载

input

PIN_153

3

LVTTL

DedicatedClock

CLK2/LVDSCLK2p

Yes

on

PIN_121

RowI/O

LVDS75n

cla

PIN_122

LVDS75p

PIN_160

LVDS58n

22

PIN_159

LVDS59p

33

PIN_162

LVDS57n

44

PIN_161

LVDS58p

55

PIN_136

LVDS70n

66

PIN_137

LVDS70p

aa

PIN_169

LVDS54p/DQ1R3

bb

PIN_170

DPCLK4/DQS0R

cc

PIN_167

LVDS55p

dd

PIN_168

LVDS54n

ee

PIN_165

LVDS56p

ff

PIN_166

LVDS55n

gg

PIN_163

LVDS57p

jiaofenkaiguan

PIN_123

LVDS74n

jiaoshikaiguan

PIN_124

LVDS74p

fenming

PIN_175

DQ1R0

五、实验感想

1、实验过程中出现的问题:

①.在本次实验中完成了基本功能,而做好的两个附加功能(闹铃和秒表)的单个模块却没有处理好,不能实现整体功能的实现,比较遗憾,还需要更深入地学习数电知识来完善自己。

②.此次EDA设计的题目是多功能数字钟,与上学期的电子电工综合实验做的基本相同,电子电工综合实验是利用芯片实际搭建电路,而这次是用程序仿真,但原理是相同的,本来以为会比较简单,但事实并非如此,我在第一天就遇到了麻烦。

我们首先要用一个简单的电路来熟悉使用QuartusⅡ软件,我按着老师讲的以及书上写的按部就班,电路图没有问题,模拟出来的波形也比较理想,但在分配好管脚后要下载到硬件上实现时出现了大问题,硬件没有反应,仔细检查了前面的所有步骤,发现没有任何地方有问题,但硬件就是没有反应,连一盏灯都不亮,后来才发现是没开硬件电源,可能由于太紧张了犯了如此低级的错误。

③.仿真计时器的模60时,秒十位不能按顺序跳,而是乱着跳变,思考再三都不知道为什么,后来请教了老师才知道是因为仿真时输出的上下顺序摆错了,导致group后数字不对。

2、实验体会:

在实验之前一定要做好充分的预习和准备工作。

正确的实验原理和清晰的实验思路是实验成功的基础,但我在实验之前,没有能对实验原理进行深入而细致的研究和揣摩,花了很长一段时间修正自己的思路后,才逐步找到了设计的关键点。

正是由于自己在实验前的麻痹大意,使自己在实验中浪费了大量的时间,降低了实验的效率。

这一点是我在今后的类似实验中要尽力避免的。

虽然实验最后完成了,但速度不是很快,质量也不算太高。

我在实验逐渐深入的过程中,我渐渐认识到了条理性在实验中的重要性。

按照自顶向下的设计思路,查错和排错都相对来说要容易许多。

举例来说,发现最后结果不对,不能将整体电路一处一处地检查,而是需要冷静分析问题所在,专门检查可能出现错误的单元电路,充分发挥波形仿真的作用,发在实验之前一定要做好充分的预习和准备工作。

举例来说,发现最后结果不对,不能将整体电路一处一处地检查,而是需要冷静分析问题所在,专门检查可能出现错误的单元电路,充分发挥波形仿真的作用,并解决问题。

在今后的实验中,我要进一步加强自己作实验的条理性,争取高质量地完成实验。

这次的EDA

(2),是一次有挑战有收获的实验,充分激发了自己对于类似综合实验的兴趣,锻炼了自己在实验中实际操作的能力,夯实了自己的数字逻辑电路基础,对我在学习上帮助很大,对即将开始电子线路设计实验是一个很好的铺垫。

在今后的学习中,我也将以更加饱满的热情投入实验,学到更多的知识。

6、参考文献

[1]蒋立平著,数字电路,南京:

南京理工大学,2005

[2]江国强著,EDA技术与应用,北京:

电子工业出版社,2003

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2