电子电工英语词汇.docx

上传人:b****3 文档编号:5883806 上传时间:2023-05-09 格式:DOCX 页数:96 大小:87.18KB
下载 相关 举报
电子电工英语词汇.docx_第1页
第1页 / 共96页
电子电工英语词汇.docx_第2页
第2页 / 共96页
电子电工英语词汇.docx_第3页
第3页 / 共96页
电子电工英语词汇.docx_第4页
第4页 / 共96页
电子电工英语词汇.docx_第5页
第5页 / 共96页
电子电工英语词汇.docx_第6页
第6页 / 共96页
电子电工英语词汇.docx_第7页
第7页 / 共96页
电子电工英语词汇.docx_第8页
第8页 / 共96页
电子电工英语词汇.docx_第9页
第9页 / 共96页
电子电工英语词汇.docx_第10页
第10页 / 共96页
电子电工英语词汇.docx_第11页
第11页 / 共96页
电子电工英语词汇.docx_第12页
第12页 / 共96页
电子电工英语词汇.docx_第13页
第13页 / 共96页
电子电工英语词汇.docx_第14页
第14页 / 共96页
电子电工英语词汇.docx_第15页
第15页 / 共96页
电子电工英语词汇.docx_第16页
第16页 / 共96页
电子电工英语词汇.docx_第17页
第17页 / 共96页
电子电工英语词汇.docx_第18页
第18页 / 共96页
电子电工英语词汇.docx_第19页
第19页 / 共96页
电子电工英语词汇.docx_第20页
第20页 / 共96页
亲,该文档总共96页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

电子电工英语词汇.docx

《电子电工英语词汇.docx》由会员分享,可在线阅读,更多相关《电子电工英语词汇.docx(96页珍藏版)》请在冰点文库上搜索。

电子电工英语词汇.docx

电子电工英语词汇

电子电工英文词汇翻译a

时间:

2010-06-1309:

39:

57来源:

ab126作者:

abrasive

研磨料;磨蚀剂

abrasivecloth

金刚砂布

abrasivedisc

金刚砂研磨盘

abrasivegrains

研磨粒

abrasivepaper

金刚砂纸

Abruptjunction

陡接面

absolutegap

绝对能隙

ACmains

交流电源

ACparametrictest/ACtest

AC参数试验/交流测试

acSUPERPOSITION

交流重迭原理

ACtest

交流测试

AC/DCElectronicLoad

交/直流电子负载

AC/DCPowerSupply

交/直流电源供应器

acceleration

加速器

accelerationfactor

加速因子

accelerationradiation

加速辐射

accelerationtube

加速管

accelerationvoltage

加速电压

accentlighting

重点照明

acceptablewafersize

适用晶圆尺寸

acceptancetesting

验收测试

Acceptor

受体

Accessspeed

存取速度

Accesstime

存取时间

accommodation

调适

accumulate/accumulation

累积加算

activatedspecies

活性种

Activecard

主动式卡

Activecomponent

主动组件

activedamper

有源阻尼器

Activeload

主动式负载

Activeregion

作用区

actualwaferthickness

晶圆实际厚度

adaptation

顺应

adaptivecontroller/automaticthicknesscontroller

自适控制器/自动厚度控制器

adaptiveZfunction

Z轴上承载压自动调整功能

add/dropMultiplexer

增加/删除多任务器

add/dropmultiplexing(ADM)

投落多任务

addressmultiplexfunction

地址多任务功能

addressscramblefunction

地址打散功能

adhesionpromotercoat/vaporprimer

黏着力促进膜涂敷/汽相底层

advancedglobalalignment

进步型全晶圆调准

aftercure/postcure

二次熟化/二次硬化

afterglowMicrowaveplasmaenhancedCVDsystem

隔离行微波等离子体增强型CVD系统

after-corrosion

后端腐蚀

airbreakdown

空气绝缘破坏

AirEjector

抽气器

airpressuretype

气压式

airpurifier

空气清净机

airsealtypecleandraftchamber

密封式洁净通风室

airtension

空气力

airtrimmercapacitor

空气修整电容器

airvariablecapacitor

空气可变电容器

airvent

排气口

algorithmcontroller

算法控制器

algorithmicpatterngenerator

算法图案产生器

alignerforlargeliquidcrystaldisplaysubstrate

大型液晶显示基板对准曝光器

alignment

定向

alignmentaccuracy

位置对准精确度

alignmentmark

对准标记

alignmentoffset

对准偏移

alignmentscope

对准用双眼显微镜

alignmentstage

调准夹片台

alkalinecell

碱性电池

alkalinity(PH4.8)

含碱量(PH4.8)

Allpassfilter

全通滤波器

allowedheadload

容许发热负载

AlternatingCurrent,AC

交流电

alternating-currentoilcapacitor

交流油质电容器

aluminiumheatsink

铝制散热片

aluminumelectrolyticcapacitor

铝质电解电容器

ambientlighting

周围照明

Ambienttemperature

周围温度围

Ammeter

安培计

amorphousSi

非晶性硅

amountofoxygenprecipitation

析出氧气量

Ampere

安培

Amplifier

放大器

ampouletube

密闭瓶管

analog

模拟式

Analogcircuits

模拟电路

AnalogIC

模拟IC

Analogmultiplication

模拟乘法器

Analogsignal

模拟信号

analogtestsystem

模拟测试系统

AnalogtoDigatalConverter(ADC)

模数转换器

Analogvoltage

模拟电压

analog-digitalmixedmodesimulator

模拟/数字混合型仿真器

Analysis

分析工具

analyzingchamber

分析管〈腔〉

analyzingmagnet

分析磁铁

ANDGate

与门

angle-resolvedAugerelectronspectroscopy

角度分解奥格电子光谱学

ANI

自动辨识

anisotropicetching

各向异性蚀刻,非等向性蚀刻

anneal

退火处理

Annealing

退火热处理

annealingchamber

退火处理室

annealingtemperature

退火处理温度

annealinguniformity

退火处理之均质性

Anode

阳极

anodecoupling

阳极耦合

antennaratio

天线比

antireflectioncoating

防反射涂膜

Antialiasingfilter

反假像滤波器

anylyzingmagnet

分析磁铁

Aperture

光圈

applicationbox

操做箱

applicationspecificIC(ASIC)

特殊应用IC

applicationspecificstandardproduce

特殊应用标准产品

arcchamber

电弧室,电弧腔

arclampannealer

弧光灯退火处理机

AreaArray

面矩阵式

areadefecttest

面积缺陷试验

Arrheniusgraph/Arrheniusplot

阿列尼厄图表

artificialabrasive

人造磨消料

artwork

工艺图

as-cutwafer

原切割晶圆

ASIC

特殊应用IC

ASICmicrocomputer

微电脑

ASICtester

特殊应用集成电路(ASIC)测试器

ASICverificationsystem

ASIC验证系统

aspectratio

纵横尺寸比

assemblyline

组装线;装配线

asynchronousdesign

异步设计

ATspeedtest

时间延迟测试

ATM

高速分封交换网络

atmospherepressuremethod

大气压法

atmosphericpressureCVDsystem

大气压CVD系统

atmosphericpressurevaporphaseepitaxialgrowthsystem

大气压汽相磊晶生长系统

atmosphericpresurevaporphaseepitaxialgrowthsystem

大气压汽相磊晶生长系统

atmosphericthermaloxidationfurnace

大气压热氧化炉

atomicbonding

原子键

atomicforcemicroscope

原子间力显微镜

AtomicForceMicroscope,AFM

原子力显微镜

atomiclayerepitaxialgrowthsystem

原子层磊晶生长系统

AtomicNumber

原子序

Atomicweight

原子量

ATOMS

原子

attenuate

减光

Audio

音频信号

Audioamplifier

声频放大器

audiobook

有声书

AudioFrequency(AF)

声频

audiosignalmeasurement

声频信号测试

Audion

三极管

Augerelectronappearancespectroscopy

奥格电子出现电位光谱学

Augerelectronspectroscopy

奥格电子光谱学

autoplacementandrouting

自动配置与配线

AutoRecordLevelControl

自动录音电平控制

autohandler

自动程序处理机

automaticcalibrationfunction

自动校正功能

automaticchemicaldilutingandmixingequipment

药品自动稀释混合设备

automaticcut-off

自动切断/截止

automaticdamper/exhaustforcoater

自动风门/涂料器排气

automaticdiametercontrolsystem

自动直径控制器

automaticexhaustairmechanism

自动排热机构

automaticfireextinguisher

自动灭火机

automaticflatnesscontroller

自动平面度控制器

Automaticgaincontrol(AGC)

自动增益控制

automaticmeltlevelcontrolsystem

自动融液面控制器

automaticpressurecontol

自动压力控制

automaticprobetopadalignmentfunction

自动探针对准衬垫功能

automaticsinglewaferpolishingmachine

自动单晶圆抛光机

automaticsizingdevice

自动定尺寸装置

automatictemperaturecontroller

自动温度控制器

automatictestequipment

自动测试装置

automatictestpatterngeneration

自动产生测试图案

automatictestprogramgenerator

自动测试程序产生器

AutomaticVoltageRegulatorAVR

交流稳压器(SCR电子式)

Automaticvolumecontrol

自动声音控制

automaticallycutterset

自动切割机设定

automaticallyset-up

自动调定

AuxiliaryCoolingWaterPump

辅助冷却水泵

AuxiliaryLubeOilPump

辅助润滑油泵

AVReceiver

影音接收机

AVRemoteCommander

影音遥控器

availability

利用度,利用率

AvalancheBreakdown

累增崩溃

AvalancheDiode

雪崩式二极管

averageluminance,ofaluminaire

灯具平均亮度

averageluminance,ofasurface

表面平均亮度

Averagepower

平均功率

avionics→aviationelectronics

航空电子学

电子电工英文词汇翻译b

时间:

2010-06-1309:

43:

16来源:

ab126作者:

backanddesign

后端设计

backannotation

背面批注

backEMF(electromotiveforce)

反电动势;反(方)向电动势

backrack

背后接线架

backsidedamage

背侧损伤

backsidereferencemethod

背侧基准法

backsurfaceluster

背面光泽度

backingpad

衬垫

backingplate

支撑板

backsiderinse

背面冲洗

baffle

遮蔽体

bakingtemperatureuniformity

烘烤温度均质性

bakingunitoven

烘烤炉

Balance

平衡

Balancedmodulator

平均调变器

ballbonding

球形接合,球形压接

ballgridarray

球状栅极数组封装体

ballshape

球形,球状

ballshearstrength

球部抗切强度

ballsheartester

球状压接端切变强度测试机

ballsize

球头尺寸,球形大小

ballast

安定器

bandblade

条带刀片

bandgap

能隙

bandsaw

条带锯

Band-Pass

带通

Band-reject

带拒

Bandstopfilter

带拒滤波器

Bandwidth

频带宽度

bankcontroller

触排控制器

bargraph(bargraph)

长条图;柱形图

BareChip

裸晶封装

Barkhausencrieterion

巴克豪生准则

barrelasher

圆筒型灰化机

barreltypeplasmaetchingsystem

圆筒型等离子体蚀刻系统

Barrier

障碍

barrierpotential

障壁电压

Base

基极

BASEBIAS

基极偏压

baseline

基线

Bass

低音

BassReflexEnclosure

低音反射隔间

batchprocessing

分批处理

batchwaferretrieval

晶圆片回批取出

batch-to-batchdoseuniformity

批次间之注入均质性

bathtobathtransporttime

槽间输送时间

bathwithfilterforcirculationsolution

循环过滤洗条槽

Battery

电瓶

batterybackup

电池备用/后备

batteryloadlevelling

电池负载调整

beamangle

光束角

beamcurrent

波束电流

beamdiameter

光束直径

beamenergy

波束能量

beamfilter

波束滤波器

beamfocusingsystem

波束聚焦系统

beampositioner

光束定位器

beampositioningaccuracy

光束定位精确度

beamstability

波束安定性

Beam-leadisolation

联机支柱隔离

behavioraldescriptionlanguage

性能记述语言

behavioralschematiceditor

性能简图编辑器

behavioralsimulator

性能仿真器

behavioralsynthesis/behavioralsynthesizer

性能合成/性能合成器

belljar

钟罩型反应器

bellowspump

风箱泵

beltlesstransfersystem

无带式输送系统

Bernoullichuck

伯努利吸盘

bestfitplanreference

最妥适平面基准

bestfitplanereference

最妥适平面基准

bevel

斜角;截成斜角

bevelcut

斜角切割

BGA BallGridArray

球门阵列封装

Biamping

双放大

bias

偏压

biassputteringsystem

偏压溅镀系统

BiCMOS

双载子互补式金氧半导体制程

bin

测试结果之分门类别

binarydecisiondiagram

双择判定图

binarypulse

二元脉冲

binaryscalepatternrecognition

二值标度图案识别

binaryscan

二进扫描

binarysearch

二次搜索

Bipolar

双极性

Bipolar-Junctiontransistor(BJT)

双极接面晶体管

Bistable

双稳态

Bistablecircuit

双稳器

Bistablefilter

双稳态滤波器

Bistablemultivibrator

双稳态多谐振荡器

Bit

bitdefecttest

点缺陷试验

biterrorratetest

位错误率试验

bitmapimage

比点阵影像

bitspersecond(BPS)

每秒位数

Biwiring

双接线

BJTBipolarJunctionTransistor

双载子连接晶体管

blade

刀片

bladebreakagedetectiondevice

刀片破损检测装置

bladedeflection

刀片偏差

bladedeflectionsensor

刀片挠曲敢测器

bladeexposure

刀刃曝露量

bladeheightcalibration

刀片高度校准

bladeretension

刀片再加力

bladetension

刀片力

bladetensioningframe

刀片力框架

bladewearcompensation

刀片摩损补偿

block

方块,部分组件单元

blockcut

方块切割

blockdiagram

区块图;方块图

bloomingtest

图像浮散试验

BlowoffValve

泄放阀

blueshift

蓝位移

BNC-Banana

仪表信号转换插头

boardinsertcheckfunction

接线板插入检查功能

boardlevelsimulation

基板位阶模拟

boardtoboardconnector

板对板连接器

Boat

晶舟

boatelevator

晶舟升降机

boathandler

晶舟处理机

boatlifttravel

晶舟移动行程

boatloader

晶舟搭载机

boattransfer

晶舟输送器

Bodeplot

波德图

bodingparameter

焊接参数

body

直躯部;晶锭躯体

Boltzmann'sConstant

波兹曼常数

bond

黏合剂;结合剂

bondedabrasive

黏合研磨剂

bondingaccuracy

焊接精确度

bondingforce

结合力,焊线强度

bondinghead

压接头

Bondingisland

供接线用之转接岛

bondinglength

接合长度

Bondingpad

供接线用之转接片

bondingsilicononinsulatorwafer

硅绝缘体(SOI)接合晶圆

bondingspeed

焊接速度,接合速度

bondingwire

焊接线,压接线

Boostconverter

降压升压转换器

bottom

底部

bottom-updesign

由下而上之设计

boundaryscantest

边界绍]扫描测试

bow

弯曲

bowingtrench

沟壁凹

bowlrinse

碗盘冲洗

bowltemperatureandhumiditycontrol

碗盘温度与湿度控制

breakdetector

破碎探测器

BreakdownVoltage

崩溃电压

Bridge

桥式

Bridging

桥接

Brightetching

光亮蚀刻

brightness

亮度

bringetching

光亮蚀刻

brushscrubber

刷子擦洗机

brushing

刷洗

brushingmachine

刷子清除机

bubbleleaktester

漏泄气泡测试器

buff

抛光用软皮

Buffer

缓冲器

buildingblock

建构区块;晶胞

Built-inpotential

建电位

built-inselftest

建自我测试

Bulk

表体,基体

bulkdefects

表体缺陷

Bump

凸块

bur-incontroller

老化测试控制器

Buriedalyer

埋层

burn-inboard

老化测试基板

burn-inboardchecker

老化测试检验器

burn-inboardejector

老化测试基板拔除器

burn-inboardinserter

老化测试板插入器

burn-incabletray

老化测试电缆架

burn-inchamber

老化测试恒温槽

burn-inrack

老化测试架

burn-instressfunction

老化测试应力施加功能

burn-insystem

老化测试系统

burn-intimer

老化测试定时器

burn-intracking

老化测试追踪

burning

燃烧

burr/flash/bleed

毛头/(塑模)溢料/残渣

buy-off

验收

by-passcapacitorrela

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > PPT模板 > 商务科技

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2