四人表决器电路设计资料教学内容.docx

上传人:b****3 文档编号:6781071 上传时间:2023-05-10 格式:DOCX 页数:9 大小:71.47KB
下载 相关 举报
四人表决器电路设计资料教学内容.docx_第1页
第1页 / 共9页
四人表决器电路设计资料教学内容.docx_第2页
第2页 / 共9页
四人表决器电路设计资料教学内容.docx_第3页
第3页 / 共9页
四人表决器电路设计资料教学内容.docx_第4页
第4页 / 共9页
四人表决器电路设计资料教学内容.docx_第5页
第5页 / 共9页
四人表决器电路设计资料教学内容.docx_第6页
第6页 / 共9页
四人表决器电路设计资料教学内容.docx_第7页
第7页 / 共9页
四人表决器电路设计资料教学内容.docx_第8页
第8页 / 共9页
四人表决器电路设计资料教学内容.docx_第9页
第9页 / 共9页
亲,该文档总共9页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

四人表决器电路设计资料教学内容.docx

《四人表决器电路设计资料教学内容.docx》由会员分享,可在线阅读,更多相关《四人表决器电路设计资料教学内容.docx(9页珍藏版)》请在冰点文库上搜索。

四人表决器电路设计资料教学内容.docx

四人表决器电路设计资料教学内容

名称:

综合训练项目一

题目:

四人表决器电路设计

 

专业:

班级:

姓名:

学号:

 

辽宁工程技术大学

《数字电子技术》

综合训练项目一成绩评定表

 

评定指标

标准

评定

分值

得分

设计内容

思路清晰

1

方案合理、

电路完整

1

仿真结果正确

1

 

设计报告

格式正确

顺序合理

1

内容充实、

语言流畅

1

图表清晰

1

答辩

叙述清晰,

回答正确

4

总成绩

日期

2017年月日

《综合训练项目一》任务书

一、综合训练题目

四人表决器电路设计

二、目的和要求

1、目的:

会运用不同类型门电路或中、小规模集成电路,设计简单组合电路,学习仿真软件应用,学习word文档制作。

2、要求:

设计一个四人表决器,按少数服从多数规则,三人或三人以上同意,则通过。

利用绿、红两种颜色灯代表是否通过,并用数码管显示同意人数;用门电路或中规模集成电路译码器、数选器、加法器等完成控制任务;有研究方案比较,能够应用相关仿真软件绘制逻辑图,用仿真软件验证电路功能。

成果形式:

每小组提交综合训练报告一份;现场或视频答辩;有能力的同学制作实物。

上交时间:

在讲授完第四章中的组合电路设计知识点后的一周之内提交。

三、训练计划

项目综合训练课下1周,课上1节。

第1天:

针对选题查资料,确定整体设计方案;

第2~3天:

学习Multisim仿真软件,熟悉Visio绘图软件。

第4~5天:

论证电路设计,利用仿真软件仿真设计电路,观察能否达到设计要求;;

第6~7天:

按格式要求编写整理设计报告。

四、设计要求

1.每名同学按照自己分配的任务要求完成训练。

2.绘图统一采用Visio2010。

指导教师:

日期:

2017年月日

摘要

四人表决器在我们生活中应用非常广泛,比如表决等。

掌握四人表决器的工作原理,对我们理解和掌握表决器具有重要意义。

本次的课程设计就是利用数字电子技术的知识做一个四人表决器。

在mulitisim软件中,利用集成电路,通过四片74LS183和一片74LS48芯片连接到LED数码管上,一个显示赞成人数;再通过与门和非门,实现通过与否的判决。

经过仿真,符合四人表决的功能。

关键词:

四人表决器;74LS183;74LS84;LED数码管

 

 

综述

随着信息化的发展和人们生活节奏的提高,为了提高工作效率,方便的显示表决的结果,表决器发挥的作用越来越大。

表决器作为我们生活中的一部分,我们既要知其然,还要知其所以然。

本次的课程设计是利用数字电子技术的知识做一个四人表决器电路,要求表决器能够正常工作。

数字电子技术综合训练也是培养学生综合运用所学知识,发现、提出、分析和解决实际问题,锻炼实践能力的重要环节,是对学生实际工作能力的具体训练和考察过程。

 

1方案设计与分析

方案:

直接利用与门、非门和或门的组合,完成通过与否的亮灯判定,再通过四个74LS183全累加器记数和一个74LS48译码器的译码过程实现在LED数码管上显示赞成人数。

2电路设计框图及功能描述

本次设计共分为五部分:

投票产生,通过判定,累加器计数,译码端,LED显示端。

电路设计框图如图1所示。

图1流程图

在投票环节过后通过与门,或门和非门完成亮灯判定;在累加器端用四个74LS183全累加器驱动;在译码部分采用74LS47译码器驱动;最后连接到LED显示器上。

合并成一个总体电路图。

即可设计成一个简单的四人表决器电路。

 

3电路设计及其原理

3.1门电路的组合

这里采用与门、或门和非门的组合来完成,电路图如图2所示。

图2门电路图

3.2累加器部份

累加器由4个74LS183双全加器构成,先将A、B相加,C、D相加,得到2个二位二进制数,将两个多位二进制数进行相加得到1个三位二进制数。

这个三位二进制数位数由高到低对应译码器的C、B、A。

3.3译码部份

74LS48译码器,是一种常用的七段显示译码器,该电路的输出为高电平有效,即输出为1时,对应字段点亮;输出为0时对应字段熄灭。

该译码器能够驱动七段显示器显示数字字形。

输入A3、A2、A1和A0接收4位二进制码,输出OA、OB、OC、OD、OE、OF和OG分别驱动七段显示器的a、b、c、d、e、f和g段。

3.4总电路图的设计

将5V直流电源、四片74LS183全累加器、一片74LS48译码器、七段显示器接到一个电路中即可得到总的电路图。

如图3所示。

4仿真结果

仿真结果如图。

5测试结果分析

经测试之后,电路可以实现设计要求。

当电路接通时,四人表决器可按预定程序进行。

设计体会

这次课程设计是一次难得的锻炼机会,让我们能够充分利用所学过的理论知识还有自己的想象能力。

另外还让我们学习查找资料的方法,以及自己处理分析电路,设计电路的能力。

这次的的课程设计让我懂得了它们在实际中的用途,激发了我以后的学习兴趣,有利于今后更好的学习,为日后打下坚实的基础。

通过这次课程设计,不仅培养了自己独立思考的能力,在各种其他能力上也有了一个提高。

总的来说,我觉得这次实践对我现在的学习以及以后的工作都有很大的帮助,而且使我学会了怎样分析问题,让我的思维更加缜密,逐步建立了科学严谨的意识。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 小学教育 > 语文

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2