E题 数字信号传输性能分析仪.docx

上传人:b****4 文档编号:6875893 上传时间:2023-05-10 格式:DOCX 页数:19 大小:434.62KB
下载 相关 举报
E题 数字信号传输性能分析仪.docx_第1页
第1页 / 共19页
E题 数字信号传输性能分析仪.docx_第2页
第2页 / 共19页
E题 数字信号传输性能分析仪.docx_第3页
第3页 / 共19页
E题 数字信号传输性能分析仪.docx_第4页
第4页 / 共19页
E题 数字信号传输性能分析仪.docx_第5页
第5页 / 共19页
E题 数字信号传输性能分析仪.docx_第6页
第6页 / 共19页
E题 数字信号传输性能分析仪.docx_第7页
第7页 / 共19页
E题 数字信号传输性能分析仪.docx_第8页
第8页 / 共19页
E题 数字信号传输性能分析仪.docx_第9页
第9页 / 共19页
E题 数字信号传输性能分析仪.docx_第10页
第10页 / 共19页
E题 数字信号传输性能分析仪.docx_第11页
第11页 / 共19页
E题 数字信号传输性能分析仪.docx_第12页
第12页 / 共19页
E题 数字信号传输性能分析仪.docx_第13页
第13页 / 共19页
E题 数字信号传输性能分析仪.docx_第14页
第14页 / 共19页
E题 数字信号传输性能分析仪.docx_第15页
第15页 / 共19页
E题 数字信号传输性能分析仪.docx_第16页
第16页 / 共19页
E题 数字信号传输性能分析仪.docx_第17页
第17页 / 共19页
E题 数字信号传输性能分析仪.docx_第18页
第18页 / 共19页
E题 数字信号传输性能分析仪.docx_第19页
第19页 / 共19页
亲,该文档总共19页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

E题 数字信号传输性能分析仪.docx

《E题 数字信号传输性能分析仪.docx》由会员分享,可在线阅读,更多相关《E题 数字信号传输性能分析仪.docx(19页珍藏版)》请在冰点文库上搜索。

E题 数字信号传输性能分析仪.docx

E题数字信号传输性能分析仪

 

简易数字信号传输性能分析仪

摘要:

本文以FPGA为核心,C8051f330作为控制部分,设计了一种数字信号传输性能分析装置。

它由数字信号发生器、伪随机信号发生器、模拟低通滤波器、加法电路以及数字信号分析模块等构成。

数字信号发生模块和伪随机信号发生模块由FPGA产生,伪随机信号发生器和低通滤波器模拟传输信道,数字信号分析模块由滤波和整形电路构成。

,此外本系统还能实现COD等功能,经测试,各项指标均能满足设计要求。

信号经过四阶巴特沃斯低通滤波后,满足滤波器带外衰减不少于40dB/十倍频程的要求,两者通过反向加法电路,相互叠加后输入数字信号分析电路,此电路中有滤波,整形,产生方波后输入到FPGA中测量其脉宽,以便提取同步信号,显示出它的眼图,还能产生曼彻斯特编码,提取其信号的同步时钟,与之产生眼图,达到了数字信号传输分析的功能及其各个指标。

关键字:

m序列数字信号低通滤波器同步信号眼图

 

一、方案设计与比较

1.1数字信号发生器的设计:

方案一:

使用中规模集成电路芯片实现指定数字信号及伪随机信号的发生,然后用门电路处理后输出信号;

方案二:

使用大规模集成电路(如FPGA)中的移位寄存器及其门阵列实现指定信号的发生,直接输出TTL电平;

方案一成本较低,但使用的芯片数量较多,硬件设计较复杂,而且进阶要求中曼彻斯特编码会增加硬件设计的负担;方案二使用现成的FPGA开发板,可较方便且较快实现指定信号的设计输出。

而且可较容易实现对信号的曼彻斯特编码。

所以采用方案二。

1.2低通滤波器的设计:

方案一:

搭建RC无源滤波器。

电路比较简单,可靠性高;但通带内的信号有能量损耗,负载效应比较明显。

对于500K的截止频率,额外增加噪声,不适合高频滤波,给予排除。

方案二:

四阶巴特沃斯低通滤波器,通带内没有能量损耗,负载效应不明显,多级级联相互影响小。

四阶巴特沃斯滤波满足带外衰减大于40dB/十倍频程,通带平坦,满足设计要求。

较方案一,方案二能量损耗小,负载效应不明显,切合题目要求,所以选择方案二。

1.3同步信号提取的设计

方案一:

先测曼切斯特信号的脉宽,得到较大波的脉宽,根据产生曼切斯特信号的原理可得,该脉宽恰是其原始时钟的周期长度,根据此得到原始时钟的频率,进而得到同步信号。

方案二:

原序列一个循环周期中曼切斯特上升沿和下降沿的总和是固定的,在同一段时间内,可以把上升沿和下降沿的总数跟频率对应起来,即可以得到原来同步时钟的频率,频率输出由计数器实现,通过对计数器的异步清零与原序列进行相位同步,这样就可以得到同步信号。

第二个方案测量的频率简单,易行,频率比原始的多或少,就在此基础上减掉几个或增加几个,对于不稳的频率或有过冲,更加准确,所以选择方案二。

二、系统总体设计

通过分析知,本检测装置主要有数字信号、伪随机信号发生模块、低通滤波模块、反相加法模块、数字分析模块。

系统整体框图如下图一所示。

图1系统总体框图

数字信号和伪随机信号的m序列都在FPGA中产生,数字信号经过四阶巴特沃斯滤波后,相应频带的信号与伪随机信号叠加,进入数字信号分析电路,滤去噪声,整形成方波,输入到FPGA中,测量其脉宽,得到同步信号,曼彻斯特编码产生的信号就能与提取的同步信号产生眼图了。

三、理论分析与计算

3.1低通滤波器的设计

巴特沃思滤波器具有通带最大平坦幅度特性,式(3-1)是n阶巴特沃思低通滤波器的幅频响应表达式 。

(3-1)

截止角频率:

;

截止频率:

电压增益:

;

由于设计要求每个滤波器带外衰减不少于40dB/十倍频程,采用四阶电路,理论可达80dB/十倍频程。

四阶巴特沃思低通滤波器的传输函数为;

A(S)=A1(S)A2(S)(3-2)

100kHz低通滤波电路如下:

图2低通滤波电路

参数计算:

R1=R2=15K;C9=C2=100PF;R3=R4=6.2K;C12=500PF;

截止频率:

电压增益:

同样200kHz低通滤波电路参数值如下:

R1=R2=6.8K;C9=C2=100PF;R3=R4=3.3K;C12=500PF;

截止频率:

电压增益:

500kHz低通滤波电路参数值如下:

R1=R2=3K;C9=C2=100PF;R3=R4=1.2K;C12=500PF;

截止频率:

电压增益:

由于设计要求滤波器通带增益在0.2——4.0内可调,在滤波电路后接一级可调放大电路以满足设计需要。

电压放大倍数为0~10倍内可调。

3.2m序列数字信号

m序列数字信号由线性移位寄存器产生,如图3所示。

主要由移位寄存器和反馈函数构成。

反馈函数的输入端通过系数与移位寄存器的各级状态相连,通过反馈线作为x1的输入。

移位寄存器在时钟的作用下把反馈函数的输出存入x1,在下一个时钟周期又把新的反馈函数的输出存入x1而把原x1的内容移入x2,依次循环下去,xn不断输出。

由题要求得,m序列数字信号由线性移位寄存器产生,则

,…,

的模2加n。

(3-3)

(3-4)

图3m序列数字信号产生过程框图

数字信号V1:

f1(x)=

的m序列,由式子可用右移8位的寄存器,再由4输入异或门,或门及8输入与门实现。

伪随机信号V3:

的m序列由式子可用右移12位的寄存器,再由4输入异或门,或门及12输入与门实现。

3.3同步信号提取

首先要把模拟信号变成数字信号,滤掉高频噪声信号,由于曼彻斯特编码与原序列的关系是曼彻斯特码的上升沿表示原序列的‘1’,下降沿表示原序列的‘0’,可以由原序列与同步时钟异或得到,那么原序列一个循环周期中曼切斯特上升沿和下降沿的总和是固定的,在同一段时间内,可以把上升沿和下降沿的总数跟频率对应起来,即可以得到原来同步时钟的频率,频率输出由计数器实现,通过对计数器的异步清零与原序列进行相位同步,这样就可以得到同步信号。

3.4眼图显示方法

观测眼图可以对信号质量作出定量和定性分析,眼图的眼张开越大越好,线条越清晰越好,眼图稳定比抖动好,单线比多线好,希望交叉点在幅值的中间,图形对称。

只要系统有同步信号,就可以把同步时钟和信号输到示波器中,由同步时钟外部触发显示眼图。

在发挥部分中,输入端同步信号不可用,则需要从曼彻斯特码中提取同步信号时钟,就可以显示眼图。

四、单元电路分析与设计

4.1低通滤波设计

由于设计要求每个滤波器带外衰减不少于40dB/十倍频程,采用四阶巴特沃斯电路。

当截止频率为100KHZ时,电路如下图所示:

图4100KHZ低通滤波电路

截止频率为200KHZ时,电路如下图:

图5200KHZ低通滤波电路

截止频率为500khz时,电路如下:

图6500KHZ低通滤波电路

4.2反向加法电路

数字信号与伪随机信号两者相互叠加,因滤波电路使输出反向,于是采用反向求和电路。

伪随机信号输入的频率达到10M,使用270M高速宽频的THS4001作为运放。

图7反向加法电路

4.3数字分析电路电路

4.3.1滤波电路

为了更好的显示波形,频率测量要准确,产生的方波要能准确表达信号的频率,要滤掉加入的噪声,因为输入数字信号最大为100KHZ,于是使用前面截止频率为500KHZ的四阶巴特沃斯电路。

4.3.2整形电路

经过滤波隔直后的信号有正有负,使用LM311作为比较器,进行过零比较,为使输出的信号能接入FPGA中进行同步信号的提取,输出端直接接3.3V电源,以便输给FPGA。

图8整形电路

五、系统程序设计

5.1系统软件总体流程图

图9软件流程图

信号发生部分:

单片机发出控制频率的信号,改变步进来控制数字信号的数据率,数据率由计数器对50M系统时钟的分频得到,然后通过线性移位寄存器得到一个m序列,通过单片机的控制信号切换m序列输出和曼彻斯特编码输出这两种模式。

同步信号提取部分:

叠加信号输入后进行滤波,滤去噪声,整形成方波,输入到FPGA中,测量其频率,频率输出由计数器实现,通过对计数器的异步清零与原序列进行相位同步,这样就可以得到同步信号。

六、系统测试

6.1测试仪器

GOS-2064型数字示波器

GOS-620型模拟示波器

LPS-305电源

F10A型数字合成函数信号发生器

6.2测试数据

6.2.1数据率测试

测试方法:

由单片机控制FPGA输出伪随机信号的数据率,改变数据率。

FPGA输出端口通过探针与示波器相连,比较设定值与实测值误差。

表一数据率测试表

设定信号频率(Hz)

实际信号频率(Hz)

误差%

10000

10000.2

0.002

20000

20000.3

0.0015

30000

29940.6

0.198

40000

40000.7

0.00175

50000

50000.8

0.0016

60000

60242.1

0.4035

70000

70423.7

0.605285714

80000

79366.6

0.79175

90000

89287.3

0.791888889

100000

100002

0.002

测试结果分析:

设定频率与实测频率误差最大为0.791888889%,满足误差绝对值不大于1%的要求。

6.2.2通带增益测试

测试方法:

先选定一个数据传输通道,输入3V的正弦波,改变放大器部分的滑动变阻器电阻,进而改变放大倍数,测量输出电压。

表二为截止频率为100KHZ的增益数据,表三为截止频率为200KHZ的增益数据,表四为截止频率为500KHZ的增益数据。

表二通带增益测试表一

输入频率(HZ)

Au1

Au2

Au3

Au4

Au5

100

0.188

0.686667

3.12

4

6.533333

1K

0.188

0.686667

3.12

4

6.533333

10K

0.186667

0.686667

3.12

4

6.533333

90K

0.137333

0.48

2.293333

2.933333

4.626667

100K

0.124

0.436667

2.08

2.666667

4.2

表三通带增益测试表二

输入频率(HZ)

Au1

Au2

Au3

Au4

Au5

1K

0.2

0.653333

1.64

3.226667

6.533333

10K

0.2

0.653333

1.64

3.226667

6.533333

100K

0.178667

0.62

1.6

3.093333

6.333333

180K

0.142667

0.493333

1.253333

2.4

5.133333

200K

0.124

0.426667

1.066667

2.16

4.3

表四通带增益测试表三

输入频率(HZ)

Au1

Au2

Au3

Au4

Au5

10K

0.185333

1.113333

2.266667

4.033333

6.533333

100K

0.185333

1.106667

2.266667

4.033333

6.533333

400K

0.154667

0.926667

1.946667

3.333333

5.173333

450K

0.145333

0.866667

1.68

3.316667

4.666667

500K

0.129333

0.78

1.44

2.706667

4.366667

测试结果分析:

在这三个截止频率的通带增益里,最小为0.129333,最大为6.533333,满足增益在0.2—4.9范围。

6.2.3滤波器测试

测试方法:

先选定一个数据传输通道,输入3V的正弦波,改变放大器的输入频率,测量输出电压。

表五100KHZ的频率特性表

输入频率(HZ)

输出电压(V)

20log(Au)

100

3.00

0

2K

2.96

-0.09118

50K

2.70

-0.71566

80K

2.34

-1.68767

90K

2.16

-2.23135

100K

1.94

-2.961

110K

1.70

-3.85801

120K

1.44

-4.98546

140K

0.928

-7.96984

150K

0.752

-9.39827

图10100KHZ波特图

表六200KHZ的频率特性表

输入频率(HZ)

输出电压(V)

20log(Au)

1K

3.00

0

100K

2.86

-0.32462

130K

2.72

-0.66553

150K

2.60

-0.97201

180K

2.24

-1.98433

190K

2.10

-2.4227

200K

1.96

-2.89133

210K

1.80

-3.46977

220K

1.64

-4.10208

250K

1.18

-6.33803

图11200KHZ波特图

表七500KHZ的频率特性表

输入频率(HZ)

输出电压(V)

20log(Au)

1K

3.00

0

100K

2.98

-0.04543

400K

2.42

-1.45933

450K

2.26

-1.92395

470K

2.18

-2.16875

480K

2.12

-2.35832

490K

2.06

-2.55333

500K

2.02

-2.68652

550K

1.74

-3.70004

600K

1.44

-4.98546

图12500KHZ波特图

测试结果分析:

由波特图可知,第一种的滤波器截止频率为95KHZ,第二种的滤波器截止频率为190KHZ,第三种的滤波器截止频率为480KHZ,误差都小于10%,满足设计要求。

6.2.4伪随机信号数据率、峰峰值

测试方法:

FPGA产生10M数据率,用示波器探头去测量其输出率,再用探头去测量伪随机的输出信号的峰峰值。

测试数据:

数据率:

10.0002MHZ峰峰值106.8mV

测试结果分析:

数据率误差绝对值百分比为(10.0002-10)/10*100=0.002%远小于1%的绝对误差,峰峰值误差为6.8%,小于10%,都满足设计要求。

6.2.5信号眼图显示

测试方法:

FPGA产生两路伪随机信号,一路信号通过低通滤波器,增益放大电路后与另一路伪随机信号相加作为输出信号。

FPGA产生的同步时钟与输出

信号同时输出给模拟示波器,观察图形。

表八眼幅度测量表

V2(V)

0.5

1.5

2.83

3.26

3.96

5.96

8.96

10.00

12.00

13.00

眼幅度(V)

0.1

0.27

0.58

0.6

0.75

1.2

1.8

2

2.4

2.6

下图为电压为8.96V与100mV噪声叠加后的眼图

图13眼图

6.2.6伪随机信号幅度测量

伪随机信号峰峰值的范围24.8mV—3.14V

6.2.7低信噪比的眼图

图14低噪比的眼图

七、结论

根据以上设计方案,完成了数字信号传输性能分析仪。

它由数字信号发生电路、低通滤波电路、求和电路、数字信号分析电路构成,能产生数字信号和伪随机信号,峰峰值都能到达TTL电平,每个滤波的截止频率误差都小于10%,增益在0.2—4.0范围内可调,在很低信噪比下能提取产生曼彻斯特编码信号的同步时钟,能与同步信号产生眼图,指标性能较好,完成了设计要求。

八、参考文献

[1]、童诗白、华成英《模拟电子技术基础(第四版)》高等教育出版社2006,05

[2]、马忠梅《单片机的C语言应用程序设计》北京航空航天大学出版社2007,02

 

九、附录

附录一主要程序清单

将10MHz时钟分频为100KHz时钟

process(clkIn)

begin

ifclkIn'eventandclkIn='1'then

counter<=counter+'1';

ifcounter="110001"then

clkTmp<=notclkTmp;

counter<="000000";

endif;

clkOut<=clkTmp;

endif;

endprocess;

测脉宽

process(PulseIn,Gate)

begin

ifGate='0'then

CountOut<=countertemp;

counter<="00000000000000";

elsifPulseIn'eventandPulseIn='1'then

counter<=counter+'1';

countertemp<=counter;

endif;

endprocess;

CMI编码

process(clk,nrz_in)

begin

if(clk'eventandclk='0')then

if(nrz_in='1'andflag='0')then

cmi_out<='0';

flag<='0';

endif;

if(nrz_in='1'andflag='1')then

cmi_out<='1';

flag<='1';

endif;

if(nrz_in='0'andn='0')then

cmi_out<='0';

n<='1';

endif;

if(nrz_in='0'andn='1')then

cmi_out<='1';

n<='0';

endif;

endif;

endprocess;

伪随机:

M序列

曼:

时钟10k~100kHz选择

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2