DDS实验报告解读Word文档格式.docx

上传人:b****3 文档编号:7237447 上传时间:2023-05-08 格式:DOCX 页数:13 大小:468.58KB
下载 相关 举报
DDS实验报告解读Word文档格式.docx_第1页
第1页 / 共13页
DDS实验报告解读Word文档格式.docx_第2页
第2页 / 共13页
DDS实验报告解读Word文档格式.docx_第3页
第3页 / 共13页
DDS实验报告解读Word文档格式.docx_第4页
第4页 / 共13页
DDS实验报告解读Word文档格式.docx_第5页
第5页 / 共13页
DDS实验报告解读Word文档格式.docx_第6页
第6页 / 共13页
DDS实验报告解读Word文档格式.docx_第7页
第7页 / 共13页
DDS实验报告解读Word文档格式.docx_第8页
第8页 / 共13页
DDS实验报告解读Word文档格式.docx_第9页
第9页 / 共13页
DDS实验报告解读Word文档格式.docx_第10页
第10页 / 共13页
DDS实验报告解读Word文档格式.docx_第11页
第11页 / 共13页
DDS实验报告解读Word文档格式.docx_第12页
第12页 / 共13页
DDS实验报告解读Word文档格式.docx_第13页
第13页 / 共13页
亲,该文档总共13页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

DDS实验报告解读Word文档格式.docx

《DDS实验报告解读Word文档格式.docx》由会员分享,可在线阅读,更多相关《DDS实验报告解读Word文档格式.docx(13页珍藏版)》请在冰点文库上搜索。

DDS实验报告解读Word文档格式.docx

(1)原理框图

其中,K为频率控制字,fc为基准时钟频率,N为相位累加器的字长,D为ROM数据位及D/A转换器的字长。

相位累加器在基准时钟fc的控制下以步长K做累加,把相加后的结果送至相位累加器的输入断,相位累加器一方面将在上一时钟周期作用后产生的新的相位数据反馈到自身的输入端,在下一个时钟的作用下继续与频率控制数据K相加,另一方面将这个值作为取样地址输出,送人正弦查找表ROM作为波形ROM勺地址,对波形ROM进行寻址。

波形ROM输出D位的幅度码S(n)经D/A转换器变成阶梯波S(t),再经过低通滤波器平滑后就可以得到合成的信号波形。

合成的信号波形取决于波形ROM中存放的幅度码,因此用DDS可以产生任意波形。

(1)频率预置与调节

K被称为频率控制字,也叫相位增量。

DDS方程为f。

二fcK/2n,fo

为输出频率,fc为时钟频率。

当K=1时,DDS输出最低频率(也即频率分辨率)为fc/2N,而DDS勺最大输出频率由Nyquist采样定理决定,即fc/2,也就是说K的最大值为2n-1。

因此只要N足够大,DDS可以得到很细的频率间隔。

要改变DDS的输出频率,只要改变频率控制字K即可。

(2)累加器

(2)累加器框图

相位累加器由N位加法器和N位寄存器级联构成,来一个时钟脉冲fc,加法器将频率控制字K与寄存器输出的累加相位相加,再将相加后的结果送入寄存器的数据输入端。

寄存器将加法器在上一个时钟作用后所产生的相位数据反馈到加法器的输入端,使加法器在下一个时钟作用下继续与频率控制字进行相加。

这样,相位累加器在时钟的作用下进行相位累加。

当相位累加器加满时产生一次溢出,完成一个周期性的动作。

(3)波形存储器

用累加器输出的数据作为波形存储器的取样地址,进行波形的相位一幅值转化,即可在给定的时间上确定波形的抽样幅值。

N位的寻址ROM相当于把0°

~360°

的正弦信号离散成具有2n个样值的序列,若波形ROM有D位数据,则2N个样值的幅值以D为二进制数值固化在ROMIK按照地址的不同可以输出相应正弦信号的幅值。

相位一幅度变化原理图如下所示:

(数据)

图(3)相位一幅度变化原理图

(4)D/A转换器

D/A转换器的作用是把合成的正弦波数字量转换为模拟量。

正弦幅度量化序列S(n)经过D/A转换后变成了包络为正弦波的阶梯波S(t)。

需要注意的是频率合成器对D/A转换器的分辨率有一定要求,D/A转换器的分辨率越高,合成的正弦波S(t)台阶数就越多,输出波形的精度也就越高。

(5)低通滤波器

对D/A输出阶梯波S(t)进行频谱分析,可知S(t)中除主频fo外,还存在分布在fc,2fc……两边士f0处的非谐波分量,幅值包络为辛格函数。

因此为了取出主频fo,必须在D/A转换器的输出端接入截止频率为fc/2的低通滤波器。

四、DDS性能:

DDS的频率合成原理及实现技术与传统的直接合成DS和锁相合

成完全不同,在性能上也很独特。

1.相对带宽

当频率控制字K=1时,最低输出频率为fomax=fc/M,式中M二2N,当累加器字长N很大时,最低输出频率达Hz、mHz量级都是不困难的,可认为DDS的最低合成频率接近于零频。

DDS的最高输出频率受限于时钟频率fc和采样定理,fomax=丄fc。

2

在实际应用中,考虑到输出滤波器的非理想特性,一般采用

fomax=fcX40%

这样DDS的相对带宽为严=M4OOo"

n4OOo

0min

2.频率分辨率

DDS的最小频率步进量就是它的最低输出频率,即f0二bin二+二f

也可以采用十进制的相位累加器,那么M二10N。

可见只要累加器有足够的字长,实现非常精密的分辨率也没有多大的困难,正像全面介

绍的一样,可达Hz、mHz甚至卩Hz的频率步进量。

是传统频率合成技术所无可达到的。

3.频率转换时间

DDS的频率转换时间近似认为是即时的,这是因为它的相位序列在时间上是离散的。

在频率控制字K改变以后,要经一个时钟周期之后才能按新的相位增量累加,所以可以说它的频率转换时间就是频率控制字的传输时间,即一个时钟周期Tc=1/fc。

目前,集成DDS产

品的频率转换时间可达10ns的量级。

这是常用锁相频率合成所无法

达到的。

4.频率转换时的相位连续性

当频率控制字从K1变为K2之后,它是在已有的累加相位nK13

之上,再每次累加K23,相位函数的曲线是连续的,只是在改变频率瞬间其斜率发生了突变,因而保持了输出信号相位的连续性。

这一

点对利用相位信息的那些系统很重要。

相位连续可避免信息的丢失,相位不连续回导致频谱的扩散,不利于频谱资源的有效利用。

5.可输出正交信号

有些应用场合要用到正交信号输出,即同时输出s1(t)=sin(2

nf0t)和S2(t)=cos(2nf0t)

在DDS中,只要分别在两个ROM中存储sinB和cos0两个函数

表,即可同时输出正交信号,实现框图如3-14所示。

CpO*

图(4)可输出正交信号的DDS匡图

6.可输出任意波形

若在ROM中存储其它所需的波形函数表,DDS即可输出相应的周期性的波形,因此,更新ROM中的数据,使DDS输出方波、三角波、锯齿波等等。

7.调制性能

由于DDS是全数字的,用频率控制字K可直接调整输出信号的频率与相位,所以很易于在DDS上实现数字调频和调相,很多DDS产品都具有数字调制功能。

8.噪声与杂散

因为DDS是数字技术,先构成离散信号,再变换成模拟信号输出,因而噪声与杂散的存在是必然的。

这是我们要特别关注的。

五、Matlab程序

function[y,t]=DDS(N,K,Fs,Nd,fai)

%输入:

%N

相位累加器字长

%K

频率控制字

%Fs

采样频率

%Nd

输出信号的点的数目

%fai

输出信号的初始相位

%输出:

 

%y

输出信号的波形

%t

输出信号时间

M=2AN;

n=0:

Nd-1;

y=sin(2*pi*n*K/M+fai);

%

产生离散信号

y=y/max(y);

对信号幅值进行归一化

此时的相位增量为2*pi*K/

Ts=1/Fs;

t=(0:

Nd-1)*Ts;

%

根据需要输出的信号点数,取得时间

plot(t,y);

xlabel('

t/s'

);

%X

轴标注坐标

ylabel('

A'

%Y

title('

时域图'

添加标题

gridon;

显示格点

Fy=abs(fft(y,4*Nd));

对信号做傅里叶变换

Len_Fy=length(Fy);

此处的数字角频率

f=(0:

Len_Fy)/Len_Fy*Fs;

输出信号频率,将数字频率转换

成模拟频率(w=2*pi*f/fs)

count=floor(Len_Fy/2);

频谱显示的点数

figure

显示多幅图像

plot(f(1:

count),Fy(1:

count));

频谱图'

f/Hz'

六、实验仿真波形:

1、如果采样频率设置为256Hz累加字长N为7位,K控制字长为

数设置为128,初始相位为0,实验结果如下图所示

图(5)20Hz时域图

图(6)20Hz频域图

上图的Matlab调用格式:

>

[y,t]=DDS(7,10,256,128,0);

由图(6)可以看出,实际得到的图形输出信号频率与理论计算相符合,说明了设计是正确的。

上图中的采样频率为256Hz相位累加器字长为乙频率分辨率为1Hz,相位累加字长越长,其频率分辨率越高。

2、如果采样频率设置为256Hz累加字长N为7位,K控制字长为

点数设置为128,初始相位为0

Matlab调用格式:

>

[y,t]=DDS(7,100,256,128,0);

实验结果如下图所示

由图(8)知,产生的频率错了,输出产生了56Hz的频率。

这是

由于信号的输出频率必须大于等于两倍的采样频率(奈奎斯特定理知)。

我们设置输出信号频率200Hz而采样频率只有256Hz不符合奈奎斯特采样定律,所以输出的频域图上的频率出现了错误。

时域图

图(7)200Hz时域图

图(8)200Hz频域图

3、如果想要得到一个1KHz的,初始相位是[的正弦波,那么其采样

4

频率一定要大于2KHz在这取16KHZ,相位累加器字长为8,

f2

K2N28=32

fc16

Matlab调用程序:

[y,t]=DDS(8,32,16000,128,pi/4);

70

图(9)2KHz时域图

频谱图

\

i

E

1

II

y

A

iI

A,A.'

p■]]

■J

AAAA员A.」

r\,-

-

40

60

50

30

20

10

10002000300040005000600070008000

f/Hz

图(10)2KHz频域图

由图(10)可知,系统正确的实现了所想的设计。

4、如果采样频率设置为2KHz累加字长N为8位,K控制字长为10,

数设置为128初始相位为-

[y,t]=DDS(8,10,2000,128,pi/2);

图(11)78.125Hz时域图

图(12)78.125Hz频域图

由图(12)知,当所要产生的不是整数时,该系统也能正确的输出所要的频率。

综上所述,此系统设计的很成功,可以很好地满足数字频率合成DDS的设计要求。

七、总结

本次的课程设计我个人觉得是很有意义的。

老师给的每一个题目都很有代表性,而且实用性很强。

这次课程设计学到的东西很多,它把我这期所学的知识系统的规划起来并用于实践的操作,这也是对理论知识深刻认识的最重要一步,让我受益匪浅。

首先,拿到一个题目,知道如何去分析它,建立设计思想,可以通过系统划分,设计输入、逻辑设计综合,再编译仿真这几个步骤进行。

其次,也熟悉了Maltlab的使用和编程,对DDS有了很深的理解。

通过初次设计DDS程序,我学到了很多以前从未学到的知识,对数字频率合成也有了更深的了解,也终于知道了,要想做一个有用的东西出来不是那么容易的事情,但是我会尽可能的去学、去弄,我想只要不停止的去弄这个应该能学习到新东西。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 医药卫生 > 基础医学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2