华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx

上传人:b****1 文档编号:884795 上传时间:2023-04-30 格式:DOCX 页数:6 大小:208.81KB
下载 相关 举报
华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx_第1页
第1页 / 共6页
华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx_第2页
第2页 / 共6页
华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx_第3页
第3页 / 共6页
华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx_第4页
第4页 / 共6页
华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx_第5页
第5页 / 共6页
华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx_第6页
第6页 / 共6页
亲,该文档总共6页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx

《华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx》由会员分享,可在线阅读,更多相关《华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx(6页珍藏版)》请在冰点文库上搜索。

华中科技大学Xilinx依元素科技FPGA电子设计大赛.docx

华中科技大学Xilinx依元素科技FPGA电子设计大赛

华中科技大学Xilinx-依元素科技FPGAt子设计大赛

华中科技大学Xilinx-依元素科技

FPGA

电子设计大赛

参赛单位:

电子科学与技术专业电子0904班

成员:

/_A_

木亮

刘胤梅清咼

指导老师:

阳浩

完成日期:

2011

年12月15日

一、赛林思实验板简介

Basys2是围绕着一个XilinxSpartan-3EFPGA芯片和一个AtmelAT90USBUSB控制器搭建的,它提供了完整、随时可以使用的硬件平台,并且它适合于从基本逻辑器件到复杂控制器件的各种主机电路。

Basys2板上集成了大量的I/O设备和FPGA所需的支持电路,主要包括以下几个方面:

4个七段数码管(AN3-AN0)(不含8421译码)

8个LED发光管显示(LD7-LD0)4个按键开关(BTN3-BTN0),8

个拨码开关(SW7-SW0)

可配置晶振(25,50,100MHz)USB2.0接口

二、整体框图

Top顶层模块

对输入波形的频

三、DDS原理简介

将单频连续信号进行一个周期采样,采样频率

要满足采样定理(即至少是单频连续信号频率的2倍)。

假设采样点为2n个,这样可以将波形数据存储在地址线位数为N的ROM

中,如下图所示

换向思考,将采样频率看作波形数据的输出频率,则被恢复波形的频率:

f0=M/2N*fc

从而改变步进量M可以改变输出信号的频率,改变其始位置可以改变输出波形的初相位。

这样ROM的地址不断累加,便可以输出周期性的波形幅度数据,再经过数模转换器和低通滤波器,从而产生周期性的连续谐波信号。

DDS技术的其实质是以基准频率源(系统时钟)对相位进行等间隔的采样。

改变查找表中存放的数据,便可以产生不同的波形。

我们利用Xilinx可以很容易的实现DDS勺功能,用以产生所需的波形。

四、基础部分实现思路

1.使用DigilentBasys开发板可输出1KHZ正弦波信号(仿真)每来一个外部参考时钟,相位寄存器便以步长M递加。

相位寄存器的输出与相位控制字相加后可以输入到正弦查询表地址上。

正弦查询表包含一个正弦波周期的数字复读信息,每一个地址对应正弦波中0~360度范围的一个相位点。

查询表把输入地址的相位信息映射成正弦波幅度信号,然后驱动DAC以输出模拟量。

我们利用Xilinx可以很容易的实现DDS勺功能,设置好闸门宽度就可以产生1KHZ正弦波信号。

2.输出正弦波信号的同时,可输出同频方波信号

利用累加器将频率控制字与输出数据相加,并把相加后的结果再送至加法器。

加法器将累加器输出的数据用来查表产生正弦波和同频率的方波。

3.可对该信号进行计数,并在DigilentBasys开发板上显示频率计数结果(精确到Hz)。

本次比赛我们使用的是测频法,其基本过程就是在确定的闸门时间Tw内,记录

被测信号的变化周期数(或脉冲个数)Nx,则被测信号的频率为:

f=Nx/Tw。

首先给出闸门开启信号(预置闸门上升沿),此时计数器并不开始计数,而是等

到被测信号的上升沿到来时,计数器才真正开始计数。

然后预置闸门关闭信号(下降沿)到时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成一次测量过程。

可以看出,实际闸门时间T与预置闸门时间T并不严格相等,但差值不超过被测信号的一个周期。

程序思路:

首先我们对输入数据进行比较,寻找到最大值和最小值,取最大值和最小值的平均值average

当输入信号大于average时,令其为1,当输入信号小于average时,令其为0。

从而将输入波形转化为脉冲(方波)的形式以便进行计数。

接着,通过一个扫描信号不断将计数值总值传递给一中间变量以做译码,并显示在4位数码管上三、扩展部分

1.实现输出信号的DA转换

我们使用的是12路DA型号为:

DAC7553

DAC7553原理图

DAC755眾线图

我们将信号串行输入到SDIN端,在时钟的控制下,在Vout_A和Vout_B端就可以得到模拟的输出信号。

2.增加输出波形的种类(如三角波、锯齿波等)。

在每一个时钟周期,N位相位累加器与其反馈值进行累加,其结果的高L位作为ROM查询表的地址,然后从ROM查询表中读出相应的幅度值送到DAC。

再由DAC将其转换成为阶梯模拟波形,最后由具有内插作用的LPF将其平滑为连续的正弦波形作为输出。

改变查找表中存放的数据,便可以产生不同的波形,加上适当的数据选通,就可以使输出频率在正弦波、方波与三角波之间切换。

具体实现代码:

always@(posedgeclkorposedgereset)beginif(reset)

data_out_temp=12'h0;

elsecase(switch)

{

2'b0:

0data_out_temp=

data_temp[14:

3];//?

?

?

?

?

?

?

开关为0取三

波?

2'b0:

1data_out_temp=fangbo;

2'b1:

0data_out_temp=juchibo;//锯齿波

2'b1:

1data_out_temp=sine_data;II开关为3取sine?

endcase

assigndata_out=data_out_temp;II数据输出;

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 医药卫生 > 临床医学

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2