基于VHDL的自动售货机设计.docx

上传人:b****8 文档编号:9649014 上传时间:2023-05-20 格式:DOCX 页数:81 大小:441.61KB
下载 相关 举报
基于VHDL的自动售货机设计.docx_第1页
第1页 / 共81页
基于VHDL的自动售货机设计.docx_第2页
第2页 / 共81页
基于VHDL的自动售货机设计.docx_第3页
第3页 / 共81页
基于VHDL的自动售货机设计.docx_第4页
第4页 / 共81页
基于VHDL的自动售货机设计.docx_第5页
第5页 / 共81页
基于VHDL的自动售货机设计.docx_第6页
第6页 / 共81页
基于VHDL的自动售货机设计.docx_第7页
第7页 / 共81页
基于VHDL的自动售货机设计.docx_第8页
第8页 / 共81页
基于VHDL的自动售货机设计.docx_第9页
第9页 / 共81页
基于VHDL的自动售货机设计.docx_第10页
第10页 / 共81页
基于VHDL的自动售货机设计.docx_第11页
第11页 / 共81页
基于VHDL的自动售货机设计.docx_第12页
第12页 / 共81页
基于VHDL的自动售货机设计.docx_第13页
第13页 / 共81页
基于VHDL的自动售货机设计.docx_第14页
第14页 / 共81页
基于VHDL的自动售货机设计.docx_第15页
第15页 / 共81页
基于VHDL的自动售货机设计.docx_第16页
第16页 / 共81页
基于VHDL的自动售货机设计.docx_第17页
第17页 / 共81页
基于VHDL的自动售货机设计.docx_第18页
第18页 / 共81页
基于VHDL的自动售货机设计.docx_第19页
第19页 / 共81页
基于VHDL的自动售货机设计.docx_第20页
第20页 / 共81页
亲,该文档总共81页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

基于VHDL的自动售货机设计.docx

《基于VHDL的自动售货机设计.docx》由会员分享,可在线阅读,更多相关《基于VHDL的自动售货机设计.docx(81页珍藏版)》请在冰点文库上搜索。

基于VHDL的自动售货机设计.docx

基于VHDL的自动售货机设计

本科毕业设计(论文)

基于VHDL的自动售货机设计

 

燕山大学

年月

 

本科毕业设计(论文)

基于VHDL的自动售货机设计

 

学院(系):

专业:

学生姓名:

学号:

指导教师:

答辩日期:

 

燕山大学毕业设计(论文)任务书

学院:

信息科学与工程学院系级教学单位:

光电子工程系

学生

姓名

专业

班级

0

题目名称

基于VHDL的自动售货机设计

题目性质

1.理工类:

工程设计(√);工程技术实验研究型();

理论研究型();计算机软件型();综合型()

2.文管理类();3.外语类();4.艺术类()

题目类型

1.毕业设计(√)2.论文()

题目来源

科研课题()生产实际()自选题目(√)

分析自动售货机的功能,完成相关功能模块的划分,用VHDL语言对各个底层模块的功能进行描述最后生成顶层模块,最后用MAX+plusII对各个模块进行编译及波形仿真分析。

1.能够独立检索相关文献并且能综合分析文献;

2.查阅相关书籍和资料,学习相关设计方案并通过对自动售货机的功能分析掌握其组成原理的分析方法和设计方法。

3.掌握VHDL硬件描述语言,按照设计方案完成各模块的程序设计,并进行波形仿真正确阐述仿真结果。

4.独立撰写论文,能根据要求和内容编写出合格的毕业论文。

1姜立东.VHDL语言程序设计及应用.北京:

北京邮电大学出版社,2004

2李洋.现代电子设计与创新.北京:

中国电力出版社,2007

3王金明,周顺.数字系统设计与VHDL.北京:

电子工业出版社,2010

4(美)JamesR,ArmstrongF,GailGray.VHDLDesignRepresentationandSynthesis.北京:

机械工业出版社,2003

周次

第1~3周

第4~6周

第7~10周

第11~13周

第14~16周

课题选定,调研、收集资料,形成初步思路。

建立系统基本结构,对整个程序流程进行规划。

用VHDL语言对各个模块进行程序编写与调试工作。

仿真结果归纳整理,撰写毕业设计论文初稿。

完成论文,准备答辩。

指导教师:

职称:

讲师2013年3月22日

系级教学单位审批:

年月日

摘要

随着科学技术的飞速发展和市场的不断繁荣,各种投币式自动售货及服务机具已经风靡世界发达国家。

这些采用现代电子技术和机电技术的自动服务设施,给人们的日常生活带来了极大的方便。

VHDL语言最初是由美国国防部开发出来用来提高设计的可靠性和缩减开发周期的一种设计语言。

目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础。

本文介绍了VHDL的特点和应用,以自动售货机为例,详细说明了其实现过程。

本文使用VHDL描述自动售货机各模块的结构、行为、功能和接口并用MAX+plusII仿真。

通过定义售货过程的各个状态以及各个状态之间的转换从而实现了自动售后机的选择多个商品,投掷钱币,找零退币,显示状态,多次交易等功能。

给出了各个模块的源程序以及模块之间连接图,并通过仿真验证做出必要的分析。

仿真结果说明本次设计能满足自动售货机所要求的功能,从而实现了电路设计的自动化和智能化。

关键词 自动售货机;VHDL;EDA;MAX+plusII

 

Abstract

Withtherapiddevelopmentofscienceandtechnologyandtheincreasingflourishofthemarket,allkindsofcoin-operatedvendingandserviceequipmenthassweptthedevelopedcountriesoftheworld.Theautomaticservicewitchusemoderntechnology,electronictechnologyandelectromechanicalfacilitieshasbroughtgreatconveniencetothepeople'sdailylives.

VHDLlanguageoriginallydevelopedbytheU.S.DepartmentofDefensefortheU.S.militarytoimprovedesignreliabilityandreducethedevelopmentcycleusingasmallerrangeofdesignlanguage.MostEDAtoolssupportalmostallVHDL,VHDLwhichiswidelyusedinthefurtherpromotionandlaidthefoundation.

ThisarticleintroducesthecharacteristicsandapplicationofVHDL,withaexampleofdesigningvendingmachines,describesdetailedlytheimplementationprocess.ThisarticleusesVHDLlangaguedescribedthestructure,behavior,functionsandinterfacesofeachmoduleswithMAX+plusIIanditssimulation.Bydefiningtherespectivestatesoftheprocessandthetransitionsbetweenthevariousstatesinordertoachievethefunctionsofthevendingmachinethatisselectmultipleitems,throwingcoins,coinsgiveback,displaystate,multipletransactionsandothers.Providedthecodeofeachmoduleandconnectiondiagrambetweenthemodules,andmakethenecessaryanalysisbysimulationandverifing.Simulationresultsshowthatthisdesignaccordswiththerequiredfunctionsofthevedingmachines,whitchachievedautomationandintelligentaofthecircuitdesign.

Keywords vendingmachine;VHDL;EDA;MAX+plusII

 

第1章绪论

1.1课题背景

自动售货机是能根据投入的钱币自动付货的机器。

自动售货机是商业自动化的常用设备,它不受时间、地点的限制,能节省人力、方便交易。

是一种全新的商业零售形式,又被称为24小时营业的微型超市。

能分为三种:

饮料自动售货机、食品自动售货机、综合自动售货机。

随着科技的发展以及人民生活水平的提高,人们需求一种全新的购物方式,这种方式不受人力时间的限制可随时随地更快捷方便地购买商品,自动售货机正能满足人们的这种需求。

如今,在机场、地铁、商场、公园等客流较大的场所,不难发现自动售货机的身影。

顾客只要插人几元的纸币或硬币,就可以方便地买到诸如饮料、口香糖等小东西。

作为一种前卫的零售方式,自动售货机受到了喜欢追逐时尚的年轻人的欢迎。

自动售货机具有技术含量高、销售方式新、市场潜力大、商品货真价实等优点,决定了它广阔的发展前景。

而且,它与电子购物等新型消费方式相通,能创造巨大的商机,研究自动售货机是非常有价值的。

随着科技的发展和社会的进步,自动化商业机械有了越来越广泛的应用,自动售货机作为自动化商业机械的代表被广泛用于公共场所自动售货,给人们的生活带来了极大的方便。

特别在发达国家,自动售货机已经十分普及,自动售货机可售出各种成型包装小商品,各种袋装,盒装,软瓶装等小商品。

在我国,由于多种原因,自动售货机的市场推广缓慢,处于培育阶段,但可以预见,这种方便快捷的服务形式因其适合现代生活快节奏的需要,在不久的将来必会获得大众的喜爱。

随着商品市场的不断繁荣,自动售货机和自动服务设施必将逐步得到广泛的应用。

随着科技的迅猛发展,信息技术,电子技术,自动化技术及计算机技术日渐融合,成为当今社会科技领域的重要支柱技术。

由于VHDL已经成为IEEE标准所规范的硬件描述语言,目前大多数EDA工具几乎都支持VHDL,这为VHDL的进一步推广和广泛应用奠定了基础[1]。

在硬件电路设计过程中,主要的设计文件是用VHDL编写的源代码,因为VHDL易读和结构化,所以易于修改设计。

1.2课题的目的与意义

人类社会已进入到高度发达的信息化社会,信息社会的发展离不开电子产品的进步。

现代电子产品在性能提高、复杂度增大的同时,价格却一直呈下降趋势,而且产品更新换代的步伐也越来越快,实现这种进步的主要原因就是生产制造技术和电子设计技术的发展。

前者以微细加工技术为代表,目前已进展到深亚微米阶段,可以在几平方厘米的芯片上集成数千万个晶体管;后者的核心就是EDA技术[2]。

EDA是指以计算机为工作平台,融合了应用电子技术、计算机技术、智能化技术最新成果而研制成的电子CAD通用软件包,主要能辅助进行三方面的设计工作:

IC设计,电子电路设计以及PCB设计。

没有EDA技术的支持,想要完成上述超大规模集成电路的设计制造是不可想象的,反过来,生产制造技术的不断进步又必将对EDA技术提出新的要求。

自动售货机作为一种先进的消费方式,已经成为城市现代文明发达程度的重要标志,其新颖、时尚的购物方式,24小时营业的特点给人们生活带来了便利,美化了城市环境,受到消费者的欢迎。

但是在我国自动售货机的普及还不及发达国家,主要原因是我国EDA技术的应用水平长期落后于发达国家。

本设计要实现自动售货机的基本功能,如选择货物,投币,找零,显示,多次交易等功能。

利用软件MAX+plusⅡ平台,采用VHDL硬件描述语言编程的设计方法设计系统核心电路的硬件程序,与一般的软件开发不同,VHDL编程更需要熟悉一些底层的硬件知识,特别是数字逻辑电路的设计,因此VHDL编程的方法、思路都要更多的与硬件电路联系起来[3]。

完成系统各个功能模块的硬件程序设计后,在软件平台上进行编译和电路仿真。

通过本课题的研究,可以掌握电子控制系统的基本原理与其实现,通过对各个模块的编程及其仿真,对MAX+plusⅡ软件的使用有所了解。

每个波形模块的VHDL实现加深了硬件描述语言的学习。

最终的顶层文件的调试,更是体现了综合知识的运用。

本课题的研究是对本专业所学知识的较系统综合的理解与应用,是理论知识与实践结合的体现。

设计综合了本专业所学几门重要专业课程的内容,包括EDA技术、数字电子技术等的学习,较为符合电气工程及其自动化专业的培养要求,有助于实践能力、创新能力、设计能力的提高。

1.3自动售货机的国内外发展及现状

公元1世纪,希腊人希罗制造的自动出售圣水的装置是世界上最早的自动售货机。

17世纪,英国的小酒吧里设有了香烟的自动售货机。

在自动售货机历史的长河中,日本开发出实用型的自动售货机,那是在进入本世纪后的事。

自动售货机的真正普及是在第二次世界大战以后。

50年代,“喷水型果汁自动售货机”大受欢迎,果汁被注入在纸杯里出售。

后来,由于美国的饮料大公司进入日本市场,1962年,出现了以自动售货机为主体的流通领域的革命。

1967年,100日元单位以下的货币全部改为硬币,从而促进了自动售货机产业的发展。

1925年美国研制出售香烟的自动售货机,此后又出现了出售邮票、车票的各种现代自动售货机。

在日本全国各地,共设有550万台自动售货机(据1998年的统计),销售额达6兆8969亿4887万日元,为世界第一。

在售货机的显示屏幕上进行操作,输入商品号码和购买数量,并投入钱币后,商品就会从取货口出来,甚至从食品自动售货机上还能买到热呼呼的面条和米饭团。

虽然日本的自动售货机总台数低于美国(据1997年的统计为689万台),但是,从人口占有数来看却是世界上最高的,美国平均35人占有一台,而日本为23人占有一台。

1999年,它开始进人中国市场。

如今,在机场、地铁、商场、公园等客流较大的场所,不难发现自动售货机的身影。

自动售货机在中国的发展状况:

1993年,日本、美国、韩国的二手自动售货机进入中国,只能识别人民币硬币。

1995年,可口可乐、百事可乐开始小量布放使用硬币的自动售冷饮机。

1997年,南开戈德开发出“GD人民币智能识别系统”。

1998年,南开戈德自行研制成功“GD自动售货机”,成为我国第一家规模生产同时识别纸硬币自动售货机的公司。

1998年,加拿大“科汇”公司在广州开始销售、摆放“Vendo”等进口机器[4]。

1998年10月,南开戈德组建“天津戈德微超贸易有限公司”(现“天津戈德移动商务有限公司”前身),成为国内第一家规模经营自动售货机的单位。

2000~2001年,青岛“澳柯玛”、番禺“智强”、广州“金沙”、长沙“艾博”等相继进入自动售货机行业。

图1-1为市场上的自动售货机。

图1-1市场上的自动售货机

但三年来,被称为“永不下班的超级营业员”的自动售货机并未在中国得

到普及。

目前,中国的自动售货机拥有量约为2万台,相对于13亿的人口大国,这个数字微不足道。

中国自动售货业的发展比较缓慢,原因是多方面的。

其中,最大的障碍是中国消费者对自动售货机的可靠性、易操作性有疑虑。

在前2002亚洲自动售货机展览会上,全球交易支付技术的先导者美国MEI公司全球市场副总裁魏思特说,上海是中国乃至亚洲最为发达的城市之一,自动售货业的发展潜力不可估量。

专家预测,未来几年中国市场上的自动售货机将每年增加5-10万台。

中国沿海比较富裕的地区,将大约有3.5亿人口经常使用自动售货机。

现代自动售货机的种类、结构和功能依出售的物品而异,主要有食品、饮料、香烟、邮票、车票、日用品等自动售货机。

一般的自动售货机由钱币装置、指示装置、贮藏售货装置等组成。

钱币装置是售货机的核心,其主要功能是确认投入钱币的真伪,分选钱币的种类,计算金额。

如果投入的金额达到购买物品的数值即发出售货信号,并找出余钱。

指示装置用以指示顾客所选商品的品种。

贮藏售货装置保存商品,接收出售指示信号,把顾客选择的商品送至付货口。

一般售货机的钱币装置由投币口、选别装置、确认钱币真伪的检查装置、计算金额的计算装置和找钱装置组成[5]。

70年代以来,出现了采用微型计算机控制的各种新型自动售货机和利用信用卡代替钱币并与计算机连接的更大规模的无人售货系统,如无人自选商场、车站的自动售票和检票系统、银行的现金自动支付机等[6]。

从广义来讲投入硬币、纸币、信用卡等后便可以销售商品的机械,从狭义来讲就是自动销售商品的机械。

从供给的条件看,自动售货机可以充分补充人力资源的不足,适应消费环境和消费模式的变化,24小时无人售货的系统可以更省力,运营时需要的资本少、面积小,有吸引人们购买好奇心的自身性能,可以很好地解决人工费用上升的问题等各项优点。

自动售货机产业正在走向信息化并进一步实现合理化。

例如实行联机方式,通过电话线路将自动售货机内的库存信息及时地传送各营业点的电脑中,从而确保了商品的发送、补充以及商品选定的顺利进行。

并且,为防止地球暖化,自动售货机的开发致力于能源的节省,节能型清凉饮料自动售货机成为该行业的主流。

在夏季电力消费高峰时,这种机型的自动售货机即使在关掉冷却器的状况下也能保持低温,与以往的自动售货机相比,它能够节约10-15%的电力。

进入21世纪时,自动售货机也将进一步向节省资源和能源以及高功能化的方向发展。

1.4本文的研究目标及章节安排

1.4.1本文的研究目标

本文研究目标是设计一种自顶向下的分层自动售货机。

使用VHDL硬件描述语言编写程序代码用状态机实现功能并以MAX+plusⅡ软件做为开发环境,设计一个能实现选择商品,投币,找零,显示状态,多次交易的自动售货机。

自动售货机模块的设计具有相对独立性,可以对各模块进行单独设计、修改和调试,这样减少了设计周期。

最后通过模拟仿真,功能验证来达到设计要求。

1.4.2本文的章节安排

本篇论文一共分为四章,每章内容安排如下:

第1章介绍了本文课题的研究背景,以及自动售货机的国内外发展及现状。

第2章对EDA电子设计自动化技术、VHDL标准硬件描述语言及MAX+plusⅡ软件做了基本的介绍,第3章主要讲述了自动售货机系统的设计要求、系统需求分析、工作流程、状态转换及模块划分。

第4章介绍了在Max+plusⅡ软件平台上进行波形模拟仿真并做出必要的功能验证与分析说明。

 

第2章相关技术与设计工具

2.1EDA技术介绍

2.1.1EDA技术概念与应用

EDA技术是指以计算机为工作平台,融合了应用电子技术、计算机技术、信息处理及智能化技术的最新成果,进行电子产品的自动设计。

利用EDA工具,电子设计师可以从概念、算法、协议等开始设计电子系统,大量工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的整个过程的计算机上自动处理完成。

20世纪90年代,国际上电子和计算机技术较为先进的国家,一直在积极探索新的电子电路设计方法,并在设计方法、工具等方面进行了彻底的变革,取得了巨大成功。

在电子技术设计领域,可编程逻辑器件(如CPLD、FPGA)的应用[7],已得到广泛的普及,这些器件为数字系统的设计带来了极大的灵活性。

这些器件可以通过软件编程而对其硬件结构和工作方式进行重构,从而使得硬件的设计可以如同软件设计那样方便快捷。

这一切极大地改变了传统的数字系统设计方法、设计过程和设计观念,促进了EDA技术的迅速发展。

EDA在教学、科研、产品设计与制造等各方面都发挥着巨大的作用。

在教学方面,几乎所有理工科(特别是电子信息)类的高校都开设了EDA课程。

主要是让学生了解EDA的基本概念和基本原理、掌握用HDL语言编写规范、掌握逻辑综合的理论和算法、使用EDA工具进行电子电路课程的实验验证并从事简单系统的设计。

一般学习电路仿真工具(如Multisim、PSPICE)和PLD开发工具(如Altera/Xilinx的器件结构及开发系统),为今后工作打下基础。

科研方面主要利用电路仿真工具(Multisim或PSPICE)进行电路设计与仿真;利用虚拟仪器进行产品测试;将CPLD/FPGA器件实际应用到仪器设备中;从事PCB设计和ASIC设计等[8]。

在产品设计与制造方面,包括计算机仿真,产品开发中的EDA工具应用、系统级模拟及测试环境的仿真,生产流水线的EDA技术应用、产品测试等各个环节。

如PCB的制作、电子设备的研制与生产、电路板的焊接、ASIC的制作过程等[9]。

从应用领域来看,EDA技术已经渗透到各行各业,如上文所说,包括在机械、电子、通信、航空航航天、化工、矿产、生物、医学、军事等各个领域,都有EDA应用。

另外,EDA软件的功能日益强大,原来功能比较单一的软件,现在增加了很多新用途。

如AutoCAD软件可用于机械及建筑设计,也扩展到建筑装璜及各类效果图、汽车和飞机的模型、电影特技等领域。

2.1.2EDA技术的历史与发展趋势

在电子设计自动化(英语:

Electronicdesignautomation,缩写:

EDA)出现之前,设计人员必须手工完成集成电路的设计、布线等工作,这是因为当时所谓集成电路的复杂程度远不及现在。

工业界开始使用几何学方法来制造用于电路光绘(photoplotter)的胶带。

到了1970年代中期,开发人应尝试将整个设计过程自动化,而不仅仅满足于自动完成掩膜草图。

第一个电路布线、布局工具研发成功。

设计自动化会议(DesignAutomationConference)在这一时期被创立,旨在促进电子设计自动化的发展。

电子设计自动化发展的下一个重要阶段以卡弗尔·米德(CarverMead)和琳·康维于1980年发表的论文《超大规模集成电路系统导论》(IntroductiontoVLSISystems)为标志。

这一篇具有重大意义的论文提出了通过编程语言来进行芯片设计的新思想。

如果这一想法得到实现,芯片设计的复杂程度可以得到显著提升。

这主要得益于用来进行集成电路逻辑仿真、功能验证的工具的性能得到相当的改善。

随着计算机仿真技术的发展,设计项目可以在构建实际硬件电路之前进行仿真,芯片布线布局对人工设计的要求降低,而且软件错误率不断降低。

直至今日,尽管所用的语言和工具仍然不断在发展,但是通过编程语言来设计、验证电路预期行为,利用工具软件综合得到低抽象级物理设计的这种途径,仍然是数字集成电路设计的基础。

从1981年开始,电子设计自动化逐渐开始商业化。

1984年的设计自动化会议(DesignAutomationConference)上还举办了第一个以电子设计自动化为主题的销售展览。

Gateway设计自动化在1986年推出了一种硬件描述语言Verilog[10,11],这种语言在现在是最流行的高级抽象设计语言。

1987年,在美国国防部的资助下,另一种硬件描述语言VHDL被创造出来。

现代的电子设计自动化设计工具可以识别、读取不同类型的硬件描述。

根据这些语言规范产生的各种仿真系统迅速被推出,使得设计人员可对设计的芯片进行直接仿真。

后来,技术的发展更侧重于逻辑综合。

目前的数字集成电路的设计都比较模块化(参见集成电路设计、设计收敛(Designclosure)和设计流(Designflow(EDA)))。

半导体器件制造工艺需要标准化的设计描述,高抽象级的描述将被编译为信息单元(cell)的形式。

设计人员在进行逻辑设计时尚无需考虑信息单元的具体硬件工艺。

利用特定的集成电路制造工艺来实现硬件电路,信息单元就会实施预定义的逻辑或其他电子功能。

半导体硬件厂商大多会为它们制造的元件提供“元件库”,并提供相应的标准化仿真模型。

相比数字的电子设计自动化工具,模拟系统的电子设计自动化工具大多并非模块化的,这是因为模拟电路的功能更加复杂,而且不同部分的相互影响较强,而且作用规律复杂,电子元件大多没有那么理想。

VerilogAMS就是一种用于模拟电子设计的硬件描述语言。

此文,设计人员可以使用硬件验证语言来完成项目的验证工作目前最新的发展趋势是将集描述语言、验证语言集成为一体,典型的例子有SystemVerilog。

随着集成电路规模的扩大、半导体技术的发展,电子设计自动化的重要性急剧增加。

这些工具的使用者包括半导体器件制造中心的硬件技术人员,他们的工作是操作半导体器件制造设备并管理整个工作车间。

一些以设计为主要业务的公司,也会使用电子设计自动化软件来评估制造部门是否能够适应新的设计任务。

电子设计自动化工具还被用来将设计的功能导入到类似现场可编程逻辑门阵列的半定制可编程逻辑器件,或者生产全定制的专用集

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 工程科技 > 电力水利

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2