Design note for DF要点.docx

上传人:b****6 文档编号:15974580 上传时间:2023-07-09 格式:DOCX 页数:36 大小:1.20MB
下载 相关 举报
Design note for DF要点.docx_第1页
第1页 / 共36页
Design note for DF要点.docx_第2页
第2页 / 共36页
Design note for DF要点.docx_第3页
第3页 / 共36页
Design note for DF要点.docx_第4页
第4页 / 共36页
Design note for DF要点.docx_第5页
第5页 / 共36页
Design note for DF要点.docx_第6页
第6页 / 共36页
Design note for DF要点.docx_第7页
第7页 / 共36页
Design note for DF要点.docx_第8页
第8页 / 共36页
Design note for DF要点.docx_第9页
第9页 / 共36页
Design note for DF要点.docx_第10页
第10页 / 共36页
Design note for DF要点.docx_第11页
第11页 / 共36页
Design note for DF要点.docx_第12页
第12页 / 共36页
Design note for DF要点.docx_第13页
第13页 / 共36页
Design note for DF要点.docx_第14页
第14页 / 共36页
Design note for DF要点.docx_第15页
第15页 / 共36页
Design note for DF要点.docx_第16页
第16页 / 共36页
Design note for DF要点.docx_第17页
第17页 / 共36页
Design note for DF要点.docx_第18页
第18页 / 共36页
Design note for DF要点.docx_第19页
第19页 / 共36页
Design note for DF要点.docx_第20页
第20页 / 共36页
亲,该文档总共36页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

Design note for DF要点.docx

《Design note for DF要点.docx》由会员分享,可在线阅读,更多相关《Design note for DF要点.docx(36页珍藏版)》请在冰点文库上搜索。

Design note for DF要点.docx

DesignnoteforDF要点

DecimationFilterforSigma-deltaADC

1滤波器的Simulink建模仿真与FPGA验证流程

1.1数字滤波器的Simulink建模方法

MATLAB/Simulink是动态系统建模、仿真和分析的绝佳平台,基于该工具的数字滤波器设计方法主要有三种:

1)用MATLAB的signalprocessingtoolbox完成滤波器的结构参数计算(如IIRfilter的分子、分母多项式系数,FIRfilter的抽头系数),然后用simulink的basicblockset和signalprocessingblockset当中的基本模块或子系统功能模块完成filter的设计;

2)用MATLAB的filterbuilderGUI功能完成滤波器的设计,在commandwindow中键入filterbuilder即可启动设计流程;

3)用signalprocessingblockset中的FDAtoolGUI完成滤波器的设计,相应的模块如图1.1所示。

本文档的滤波器设计主要借由FDAtool完成,MATLAB版本为R2007b。

图1.1simulink中FDAtoolGUI的启动模块——FilterRealizationWizard

1.2数字滤波器的特性分析与仿真

Filter的外部特性由激励响应确定:

时域特性包括冲激响应、阶跃响应等,FIRfilter的冲激响应即为抽头系数序列,而一般filter的阶跃响应可确定其动态调整过程,包括瞬态与稳态行为;频域特性,包括幅频特性与相频特性,是滤波器性能的重要衡量标准。

经典滤波器根据幅频特性可分为低通、高通、带通和带阻滤波器,图1.2所示为低通滤波器的幅频特性,其主要性能指标包括:

通带纹波δ1,阻带纹波δ2,通带边缘频率ωp,通带最大衰减

,阻带边缘频率ωs,阻带最小衰减

,以及过渡带宽度b。

图1.2低通滤波器幅频特性曲线

性能优越的滤波器要求通带尽可能平坦,阻带衰减尽量大,同时过渡带尽量窄,以充分滤除带外噪声,提高信号的信噪比。

滤波器的阶次和复杂度随滤波器性能的提高而增加。

滤波器的相频特性描述了不同频率成分的相位延迟情况,可提取的参数指标包括相位延迟

与群延迟

当群延迟为常量时,相应的离散系统为线性相位系统,信号经滤波传递之后不会发生相位失真。

FIR系统较易实现线性相位,当其满足奇偶对称关系

时,群延迟

,N为单位抽样响应序列

的长度。

一般滤波器设计都采用FIR系统,并且通常为滤波器I型。

首先由于FIR系统的单位抽样响应序列

,因此系统总是稳定的,其次FIR系统较易获得线性相位,而且结构简单易于实现,主要包括直接型、转置型和对称型结构,也可以采用分布式算法等快速实现FIR系统。

图1.3所示为FIR系统的对称结构,直观上可以节省近一半的乘法操作,即

(1)

图1.3FIR系统的对称结构

FIR滤波器的设计方法主要有窗函数法、频域抽样法和切比雪夫最佳一致逼近法。

从数值分析角度讲,窗函数法是最小平方意义的傅里叶级数法。

频域抽取是基于N点理想滤波器频域序列Xd(k)和DFT的插值方法。

而基于交错点组定理的切比雪夫最佳一致逼近,则是对性能控制得最精确的数值逼近方法。

故高阶滤波器的抽头系数通常采用最佳一致逼近方法获得,首先在MATLAB中根据滤波器性能指标和通、阻带权重比例,先用remezord函数估计阶次N-1,再用remez函数通过迭代的方式(remez算法)确定极值频率点,进而确定滤波器系数。

而一些简单结构的FIR滤波器也有其特殊通途,并且易于实时实现,如平均滤波器、梳状滤波器和平滑滤波器等。

在Simulink中,滤波器的幅频响应的分析观察需借助FFT模块。

图1.4所示是MACFIRfilter的频谱特性测试模型,滤波器的抽头系数由FDAtool获得,MACBasedFIR的系数为定点量化数据,ReferenceFilter的系数为64-bit双精度浮点数据,仿真结果见图1.5。

图1.4滤波器的频率响应分析

(a)系数定点化结果(b)系数未量化结果

1.5(c)二者对比,红色为(a),蓝色为(b)

1.3从Simulink到FPGA实时验证

Simulink完成行为建模与仿真之后,到FPGA平台的实时验证方法主要有两种(FPGA的验证平台为XilinxSpartan3E):

1)由HDLcoder生成HDL代码,再导入Modelsim仿真,经代码功能验证之后,导入XilinxISE,通过综合(XST或Synplify作为综合器)、映射、布局布线等步骤后生成bitstream文件,便可通过iMPACT软件和JTAG总线下载到XilinxFPGA中。

为了实时检测、分析片内信号,需要在工程中嵌入Chipscope内核,然后利用Xilinx的ChipscopePro软件在线完成逻辑分析与显示功能。

2)由FDAtool得到滤波器的Simulink模型后,建立SystemGenerator数字信号处理工程,相应的信号处理模块来自Xilinx提供的模块集,这些模块集在SimulinkLibraryBrowser中的位置如图1.6所示(ISEDesignSuit10.1配合MATLABR2007a/b使用)。

建立SystemGenerator工程后,可以继续完成软件端的Simulink仿真,嵌入Chipscope模块之后,将综合得到bitstream文件下载到FPGA,便可完成板级验证。

也可以构建硬件协同仿真模型,实现Simulink与FPGA的协同仿真,协同仿真模式包括单字节同步协同仿真和矢量传输模式的异步协同仿真。

在进行硬件协同仿真时,PC端与FPGA端通过SharedMemory进行缓冲协调,通信链路可选择为Ethernet、JTAG或PCIE。

单字节模式下,PC端在每个Simulink仿真时步点,会往FPGA发送单字节数据和同步触发控制信号,因此存在较大的通信开销和计算延迟。

而矢量传输模式的仿真速度比单字节模式更快,即FPGA每次都高效地处理一帧信号,而后将处理结果经异步缓冲后传输到PC端予以显示或后续处理,从而避免了同步控制带来的开销延迟。

图1.6SystemGenerator的模块集

2数字抽取滤波器构架与设计

图2.1数字抽取滤波器构架

数字抽取滤波器构架如图2.1所示,抽取滤波器完成OSR的降采样,并对采样调制信号作低通滤波处理。

系统模型主要由三部分组成:

CIC滤波器,补偿滤波器(CompensationFilter)和半带滤波器(Half-bandFilter);CICDF完成64倍抽取和前级滤波;C-DF对CICDF的频率响应作补偿,以减少通带边缘的衰减,提高通带性能;HBDF完成2倍抽取,并增大滤波器的阻带衰减,可以是单级或两级级联结构。

Sigma-deltaADC输入信号的带宽为500Hz,即奈奎斯特率为1KHz,同时采样电路的过采样率为OSR=128,因此从调制器输入到滤波器的信号的频率为128KHz。

滤波器整体设计指标如表1所示,抽取倍数为128,若被采模拟信号幅值在-1V到1V之间,要想达到16-bit的分辨率,则处理的定点字长不小于18-bit。

滤波器响应类型为FIR,通带衰减不超过0.3dB,边缘在400Hz附近,阻带衰减不低于120dB,边缘在600Hz附近。

表1数字抽取滤波器设计指标

OSR

128

Resolution

≈16-bit

DecimationFactor

128

ResponseType

FIR

fp

400Hz

fs

600Hz

αp

≤0.3dB

αs

≥120dB

2.1CIC滤波器分析与设计

图2.2CIC滤波器结构

CIC滤波器的优点为能获得较大的抽取倍数,不需要乘法器,并且为线性相位。

如图2.2所示,CIC抽取器由级联积分器和梳状滤波器构成,二者之间为Down-sample模块:

(2)

其中M为差分延迟量,K为级联的无延迟积分器或差分器的数目。

本设计中,CIC滤波器的抽取倍数为R=64,M=1,K=5,则滤波器阶次为

,并且定点量化的原则为:

输入数据位Fixdt(1,20,19),输出为Fixdt(1,50,19),每节处理的定点数格式为Fixdt(1,50,19)。

所得到的频谱特性曲线如图2.3所示,由主瓣和边瓣构成,在0~64KHz范围内共有R/2=32瓣,显然R越大瓣数越多,主瓣也会进一步压缩。

级联数K越大,边瓣峰值越小,但主瓣在边缘频率点的衰减也会增大。

综合上述两点,R=64,K=5。

图2.3CIC抽取器的频谱曲线

对于CIC抽取器而言,由于Sinc形式的幅频特性:

(3)

导致图2.3所示通带最大增益为

,为了使

衰减至0,则需要后置固定增益滤波器

,其输出数据格式为Fixdt(1,20,18),相应的MATLAB工作区中滤波器结构体设计流程如图2.4所示。

图2.4固定增益滤波器设计流程

2.2补偿滤波器分析与设计

图2.5CIC滤波器的通带边缘衰减需要予以补偿

如图2.5所示,CIC滤波器的幅频响应呈sinc函数形状,导致通带衰减特性不能满足要求,因此需要对CIC特性做补偿。

采用InverseSincLow-passFIRfilter对CIC的频率特性作补偿,CFIR的参数配置、频谱特性及对CICDF的补偿作用如图2.6所示。

滤波器处理有限字长数据的定点量化原则为:

输入数据Fixdt(1,20,18),输出数据Fixdt(1,20,18),滤波器系数Fixdt(1,16,15),内部乘法器输出Fixdt(1,37,33),内部累加器输出Fixdt(1,38,33)。

(a)CFIR参数配置

(b)CFIR的频谱特性

(c)CFIR对CICDF的补偿作用

图2.6CFIR的参数配置、频谱曲线和补偿作用

2.3半带滤波器分析与设计

HB-DF满足在半周期内通带与阻带纹波相等,宽度也相等,即:

(4)

优点:

近一半系数为零,采用折叠结构时,乘法次数减少近3/4,加法次数减少近1/2。

单级HB-DF的参数配置、频谱响应以及与CICDF和CFIR级联之后的频谱响应如图2.7所示,所得到的HB-DF的阶次为94,结构为多相位转置结构,抽取倍数为2。

(a)单级HB-DF的参数配置

(b)HB-DF的频谱特性(c)HB-DF与CICDF和CFIR级联之后的频谱曲线

图2.7单级HB-DF特性

采用两级级联结构时,HB-DF1与HB-DF2的参数配置为:

通带边缘都为440Hz,边缘衰减为0.1dB。

采用最佳一致逼近得到的滤波器阶次都各为38,HB-DF1的结构为对称折叠结构,而HB-DF2的结构为多相位转置结构。

CICDF、CFIR、HB-DF1和HB-DF2级联后的频谱特性如图2.8所示。

图2.8HB-DF1、HB-DF2与CICDF和CFIR级联之后的频谱曲线

上述CICDF,CFIR和HB-DF级联之后获得的Simulink模型和初步的Simulink测试模型如图2.9所示,其中HBFIRDF可以是单级结构或两级级联结构,输入测试信号幅值为A=0.5V,频率为f=100Hz,采样率为fs=128KHz。

(a)Simulinkmodelofcascadeddecimator

图2.9(b)Primarytest-benchfordecimator

表2数字抽取滤波器初步仿真测试结果

αp/dB

αs/dB

order

GroupDelay

SimulationDelay

CIC+CFIR+HBDF1+HBDF2

0.256

158

7099

3549.5

0.028s

CIC+CFIR+HBDF

0.186

149

8251

4125.5

0.033s

表2所示为两种级联抽取滤波器的仿真测试对比,在满足通带与阻带特性的前提下,为了得到阶次更低及仿真延迟更低的滤波器,选择CIC+CFIR+HBDF1+HBDF2结构更合适。

3数字抽取滤波器的功能仿真

图3.1Sigma-deltaADC的总体构架

Sigma-deltaADC的结构框图如图3.1所示,过采样电路按照过采样频率对输入信号进行采样,Sigma-delta调制器为低通单环结构,量化器输出为1-bit高速脉宽调制信号,该调制信号通过抽取滤波器的平滑与降采样,可获得奈奎斯特率的高精度数字编码序列。

图3.2数字抽取滤波器与Sigma-delta调制器的级联仿真模型

表3Simulink仿真模型的说明

InputSignal

OSR

SDMType

OutputofSDM

10KHzsine+whitenoise+expectedsignal

128

1-bitsingleloop

1-bitmodulatedpulsesequence

DigitalFilterType

DecimationFactor

OutputofDecimationFilter

Low-passFIR:

CIC+CDF+

HBDF1+HBDF2

128

Nyquist-ratesignalwithhigh-resolution

图3.2所示为数字抽取器与Sigma-delta调制器级联后的Simulink仿真测试模型,表3为该模型的具体说明:

其中输入的测试激励为带外10KHz高频干扰+均匀白噪声+带内信号(100Hz~500Hz,A在0~1V范围取值);调制器为1-bit量化的单环结构;抽取器结构含有两级HBDF,输出数据的格式为Fixdt(1,20,19)。

例如,当SDM为二阶非理想结构时,信号的调制、抽取滤波过程如图3.3所示,1为输入的叠加信号,带内信号频率为100Hz,A=0.5V;2为调制器输出的1-bit量化信号,输入信号的幅度信息调制到脉宽变化之中;3为滤波器的抽取结果。

图3.4所示为这一情形下,调制器输出与滤波器输出的功率谱密度,此时SNDR≈80.9dB,ENOB≈13.15bits。

相应的输入信号和滤波器输出信号的频谱如图3.5所示,可见滤波器滤除了带外10KHz的高频噪声,而调制器输出信号带有量化噪声。

图3.3SD-ADC的信号调制与抽取滤波过程

图3.4调制器输出信号与滤波器输出信号的功率谱密度

图3.5SDADC输入信号与滤波器输出信号的FFT

当输入信号幅值不变,频率变为400Hz时,得到如图3.6所示的PSD结果。

由于此时信号接近通带边缘,因此SNDR略有损失,ENOB也有所下降。

图3.6当输入信号频率为400Hz时,PSD分析结果

当输入信号幅值不变,频率变为500Hz时,得到如图3.7所示的PSD结果。

由于此时信号以进入滤波器过渡带通带边缘,因此SNDR损失很大,ENOB几乎下降为0。

图3.7当输入信号频率为500Hz时,PSD分析结果

4单环SDM的研究与Simulink建模仿真

单环Sigma-delta调制器的一般性结构如图4.1所示,主要由采样电路,积分器,量化器和DAC等构成。

SDM首先通过过采样技术将带内量化噪声平均到由过采样频率决定的频率范围内,其次经噪声整形技术将量化噪声转移至高频段。

图4.1单环SDM结构框图

对于单环SDM,设积分器的转移函数为H(z),则信号转移函数STF(z)和噪声转移函数NTF(z)分别为:

(5)

(6)

NTF通常为高通类型,则SDM对带内量化噪声的平均技术和转移整形技术可表达为:

(7)

对于L阶单环理想SDM,当过采样率为OSR=R时,输出动态范围DRdB与有效位数ENOB分别为:

(8)

(9)

非理想单环SDM会引入一些非理想因素,如表4所示,包括采样时钟抖动,积分电容,积分器的有限增益、有限带宽、输出饱、运放噪声和压摆率,以及量化器的匹配误差。

表4SDM的非理想因素及设计取值

ClockJitter

16e-12

IntegrationCapacitance

5pF

FiniteGain

(1e6-1)/1e6

OutputSaturation

1.5V

GBW

40MHz

SlewRate

30V/us

Opnoise

30e-6

MatchError

9e-10

SDM的仿真测试模型仍为图3.2,为了保证PSD有足够的频域分辨率

,当OSR=128时,Pre-PSD的采样点数为2^15,Post-PSD的采样点数为256;当OSR=256时,Pre-PSD的采样点数为2^16,Post-PSD的采样点数为256。

这样Pre-PSD的频率分辨率为

,Post-PSD的频率分辨率为

,因此可以确保PSD模块可以分析得到很丰富的频域信息。

图4.2二阶单环非理想SDM结构

图4.2所示为第3节滤波器仿真时使用的二阶单环非理想SDM,仿真结果为ENOB≈13.15bits,达不到16-bit的设计需求,因此需要提高SDM阶次或提高OSR。

图4.3三阶单环1-bitSDM信号处理模型

图4.3所示为三阶单环SDM的信号流图,该结构的噪声转移函数为:

(10)

用于确定三阶单环SDM结构参数a1,a2,a3的MATLAB程序如下:

%3-orderintegratorparametersofsingleloopSDM

L=3;

Rstop=110;

B=bw*h1/Fs;

[b,a]=cheby2(L,Rstop,B,'high');

b=b/b

(1);

NTF3=filt(b,a)

figure(7)

zplane(b,a)

a23=a

(2)+3

a22=(a(3)-3+2*a23)/a23

a21=(a(4)+1-a23+a22*a23)/(a22*a23)

a23=a23-h2

该算法采用切比雪夫II型模拟滤波器原型,来求解(10)式表示的IIR系统,得到的pole-zerodistribution如图4.4所示,参数计算结果如表5所示。

图4.4三阶单环SDM的NTF(z)极-零分布图

表5三阶单环SDM参数计算结果

OSR

a1

a2

a3

h1

h2

Rstop

128

0.2144

0.5229

0.9987

0.11

0.21

110dB

256

0.2019

0.4874

0.9953

0.22

0.12

110dB

当输入信号为A=0.5V,f=100Hz,OSR=128时,三阶单环理想SDM的仿真结果如图4.5所示,此时SNDR=92.7,ENOB=15.11bits。

图4.5三阶单环理想SDM在OSR=128时的仿真结果

当输入信号为A=0.5V,f=100Hz,OSR=128时,三阶单环非理想SDM的仿真结果如图4.6所示,此时SNDR=86.1,ENOB=14.01bits。

图4.6三阶单环非理想SDM在OSR=128时的仿真结果

当输入信号为A=0.5V,f=100Hz,OSR=256时,二阶单环非理想SDM的仿真结果如图4.7所示,此时SNDR=93.3,ENOB=15.21bits。

图4.7二阶单环非理想SDM在OSR=256时的仿真结果

当输入信号为A=0.5V,f=100Hz,OSR=256时,三阶单环理想SDM的仿真结果如图4.8所示,此时SNDR=105dB,ENOB=17.14bits。

图4.8三阶单环理想SDM在OSR=256时的仿真结果

当输入信号为A=0.5V,f=100Hz,OSR=256时,三阶单环非理想SDM的仿真结果如图4.9所示,此时SNDR=90.5dB,ENOB=14.75bits。

图4.9三阶单环非理想SDM在OSR=256时的仿真结果

表6仿真结果总结

OSR

PSDsof2-ordernon-idealSDM

PSDsof3-orderidealSDM

PSDsof3-ordernon-idealSDM

PSDsof4-ordernon-idealSDM

128

81.7dB/

13.27-bit

92.7dB/

15.11-bit

86.1dB/

14.01-bit

81.8dB/

13.30-bit

256

93.3dB/

15.21-bit

105.0dB/

17.14-bit

90.5dB/

14.75-bit

-

仿真结果的总结如表6所示,可以得到以下结论:

1)当OSR提高时,无论二阶还是三阶结构,SNDR和ENOB都会提高;

2)当OSR=128时,无论是理想还是非理想情形,三阶结构的SNDR和ENOB要优于二阶结构;

3)当OSR=256时,SDM的延迟会对调制器性能产生影响。

5级联Mesh2-1SDM的研究与Simulink建模仿真

图5.13阶级联Mesh2-1SDM

如图5.1所示为3阶级联Mesh2-1结构的Sigma-delta调制器。

第一级为二阶低通单环SDM,获得积分器系数的matlab程序为:

%2-orderparametersofsingleloopSDM

L=2;

Rstop=75;

B=bw*1.2/Fs;

[b,a]=cheby2(L,Rstop,B,'high');

b=b/b

(1);

NTF2=filt(b,a);

a12=a

(2)+2;

a11=(a(3)-1+a12)/a12;

k1=1.25;

k2=0.5;

k3=2;

第二级为一阶积分器构成的调制器,其对信号的通带增益为1,并带有一个单位的延迟。

第一级与二级调制器之间为误差混合与增益电路:

(11)

其中

为误差混合系数,

为误差增益系数,

为第一级SDM输入信号的转移部分,

为第一级1-bit量化器引入的量化噪声。

则第一级与第二级调制器的输出分别为:

(12)

其中

为第二级1-bit量化器引入的量化噪声。

图5.2误差消除电路

图5.2所示为级联SDM的误差消除电路,用于消除调制信号当中第一级的量化误差。

In1为SDM第一级量化器的输出,In2为第二级量化器的输出,误差消除过程可以表示为:

(13)

(14)

在此,

,则最终的2-bit量化输出为:

(15)

由(15)式可以看出,第一级与第二级带内量化噪声得到了进一步整形与抑制,有助于提高SNDR或输出动态范围。

图5.3为Mesh2-1SDM与误差消除电路的级联仿真框图,图5.4与图5.5为仿真结果。

图5.33阶Mesh2-1SDM与误差消除电路的级联仿真

图5.4滤波抽取的时域波形

图5.52-bit调制信号的PSD

6数字抽取滤波器的FPGA验证

图6.1基于SystemGenerator/XilinxF

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2