简易频谱分析仪30组.docx

上传人:b****2 文档编号:18045594 上传时间:2023-08-07 格式:DOCX 页数:27 大小:405.63KB
下载 相关 举报
简易频谱分析仪30组.docx_第1页
第1页 / 共27页
简易频谱分析仪30组.docx_第2页
第2页 / 共27页
简易频谱分析仪30组.docx_第3页
第3页 / 共27页
简易频谱分析仪30组.docx_第4页
第4页 / 共27页
简易频谱分析仪30组.docx_第5页
第5页 / 共27页
简易频谱分析仪30组.docx_第6页
第6页 / 共27页
简易频谱分析仪30组.docx_第7页
第7页 / 共27页
简易频谱分析仪30组.docx_第8页
第8页 / 共27页
简易频谱分析仪30组.docx_第9页
第9页 / 共27页
简易频谱分析仪30组.docx_第10页
第10页 / 共27页
简易频谱分析仪30组.docx_第11页
第11页 / 共27页
简易频谱分析仪30组.docx_第12页
第12页 / 共27页
简易频谱分析仪30组.docx_第13页
第13页 / 共27页
简易频谱分析仪30组.docx_第14页
第14页 / 共27页
简易频谱分析仪30组.docx_第15页
第15页 / 共27页
简易频谱分析仪30组.docx_第16页
第16页 / 共27页
简易频谱分析仪30组.docx_第17页
第17页 / 共27页
简易频谱分析仪30组.docx_第18页
第18页 / 共27页
简易频谱分析仪30组.docx_第19页
第19页 / 共27页
简易频谱分析仪30组.docx_第20页
第20页 / 共27页
亲,该文档总共27页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

简易频谱分析仪30组.docx

《简易频谱分析仪30组.docx》由会员分享,可在线阅读,更多相关《简易频谱分析仪30组.docx(27页珍藏版)》请在冰点文库上搜索。

简易频谱分析仪30组.docx

简易频谱分析仪30组

 

简易频谱分析仪

 

第七组:

周严,姚伶伶,周晓光

2006年11月

 

摘要:

本系统基于外差式频谱分析仪的基本原理,以单片机89C55为控制核心,结合高速可编程逻辑器件FPGA,采用DDS直接频率合成技术,实现了简易逻辑分析仪的设计任务。

系统采用了一次下混频、滤波的结构,输入频率测量范围达到了0.55MHz39.5MHz.系统整体指标好,频率分辨力达到了250Hz,能够正确识别调幅、调频和等幅波三种波形及其调制带宽。

 

Abstract:

Thissystemisdesignedonthebasicprincipleofspectrumanalyzer,whichhaveamicro-controllerasthecore-controller,andthissystemrealizethedesignofsimplespectrumanalyzerbasedonthetechniqueofDDS.Thesystemusesthedesignofthelowmixingandfilter,thescopeofmeasureisfrom0.55MHzto39.5MHz.Thesystemspecificationsaresoexcellentthatthefrequencyresolutionisupto250HzandtheFM,AMandCWsignalsandtheirbandwidthscanberecognizedautomatically.

 

关键词:

外差式频谱分析仪一次下混频直接数字合成

KEYWORD:

Heterodyne,Spectrumanalyzer,lowmixing,DDS

 

目录

Abstract:

2

一、方案论证与选择5

(一).题目任务要求5

(二)方案的比较选择与论证6

(1)总体方案论证6

方案一:

数字式频谱分析仪6

方案二:

模拟式频谱分析仪6

(2)部分方案论证7

1.本机振荡器方案:

7

2.混频器方案:

8

3.滤波器方案:

8

4.检波器方案:

8

5最终实施方案:

9

二、理论分析与计算9

(一).本机振荡器的扫频范围和滤波器的中心频率9

(二).扫频时间和A/D采样速率10

(三).调幅波、调频波和等幅波的识别原理10

三、功能电路设计12

(一)本振信号发生电路12

(二)输入信号放大及增益控制电路14

(三)混频电路14

(四)滤波器的设计15

(五)检波及采样电路17

四.系统软件设计19

(一)FPGA内部各模块设计19

(1)AD9851扫频模块19

(2)MAX197采样模块20

(3)LCD显示模块以及键盘扫瞄模块20

(4)显示部分20

(二)单片机设计部分22

五.系统调试及测试数据与分析24

(一)测试原理与方法24

(二)测试方框图24

(三)测试使用仪器及型号24

(四)测试数据结果25

六总结25

(一)实验结果.25

(二)误差分析.25

七参考文献.26

一、方案论证与选择

(一).题目任务要求

(1)任务:

采用外差原理设计并实现频谱分析仪,其参考原理框图如下图所示:

(2)要求

基本要求

(1)频率测量范围为10MHz~30MHz;

(2)频率分辨力为10kHz,输入信号电压有效值为20mV±5mV,输入阻抗为50Ω;

(3)可设置中心频率和扫频宽度;

(4)借助示波器显示被测信号的频谱图,并在示波器上标出间隔为1MHz的频标。

发挥部分

(1)频率测量范围扩展至1MHz~30MHz;

(2)具有识别调幅、调频和等幅波信号及测定其中心频率的功能,采用信号发生器输出的调幅、调频和等幅波信号作为外差式频谱分析仪的输入信号,载波可选择在频率测量范围内的任意频率值,调幅波调制度ma=30%,调制信号频率为20kHz;调频波频偏为20kHz,调制信号频率为1kHz;

(3)其他。

(二)方案的比较选择与论证

(1)总体方案论证

频谱分析仪是在频域上观察电信号特征,并在显示仪器上显示当前信号频谱图的仪器。

从实现方式上可分为模拟式与数字式两类方案,下面分别对以下几种方案进行比较论证:

方案一:

数字式频谱分析仪

按照对信号处理方式的不同,数字式频谱仪可分为以下三种:

1.基于FFT技术的数字频谱仪:

采用数字方法直接由模拟/数字转换器(ADC)对输入信号取样,再经离散傅里叶变换(DFT)或其快速变换(FFT)处理后获得频谱分布图。

FFT技术的数字式频谱分析仪在速度上明显超过传统的模拟式频谱分析仪,能够进行实时分析。

但由于FFT所取的是有限长度,运算的点数也是有限的,因此,实现高扫频宽度和高频率分辨率需要高速A/D转换器和高速数字器件的配合。

2.基于数字滤波法的数字式频谱仪

这种频谱仪原理上等同于模拟频谱仪中的并行滤波法或可调滤波法,通过设置多个窄带带通数字滤波器,或是中心频率可变的带通数字滤波器,提取信号经过数字滤波器的幅度值,实现测量信号频谱的目的,该方法受到数字器件资源的限制,无法设置足够多的数字滤波器,从而无法实现高频率分辨率和高扫频宽度。

3.基于外差原理的数字式频谱仪

"数字式外差"原理是把模拟外差式频谱分析仪中的各模块利用数字可编程器件实现.实现起来由于数字资源的有限,会出现分辨率不够高等问题.

方案二:

模拟式频谱分析仪

模拟式频谱分析仪一般可以分为:

并行滤波法、顺序滤波法,可调滤波法、扫描外差法.现在广泛应用的模拟频谱分析仪设计方案多为扫描外差法.

扫频外差法是将频谱逐个移进不变的滤波器。

其原理图如下,图中窄带滤波器的中心频率是不变的.信号经过压控增益放大后与DDS产生的本振频率相混.混频后的信号经过窄带滤波器,使信号的频谱分量依次地移入窄带滤波器.再经过峰值检波,A/D采样送入处理器,处理器提取通过滤波器的信号幅度,根据DDS扫频的当前频率和提取到的幅度值,即可以绘制当前信号频谱图。

 

 

图1-1外差式频谱仪基本原理图

此方案是目前最为成功的一种方法,它能分析较为广阔的频谱。

关键部分是是硬件电路,软件部分相对简单.此方案既可以克服数字频谱仪中数字器件资源的限制,又可以实现高扫频宽度和高频率分辨率.经过以上比较,我们选择这种方案.

(2)部分方案论证

根据外差式频谱分析仪的原理,图1-1中方案实现的关键在于本机振荡器、混频器、滤波器和检波器等高中频部分。

1.本机振荡器方案:

图1-1中本机振荡器的输出应为线性的扫频输出,其输出的频率范围应与输入信号的测试范围一致。

产生线性扫频输出的方法有两种:

压控振荡器法和直接数字合成法。

压控振荡器(VCO)法采用线性的锯齿电压输入去控制其输出的频率,其优点是电路简单,缺点是频率控制的精度较差,在频率范围较大时扫频的线性性难以保证,从而影响到频谱分析仪的频标精度;直接数字合成(DDS)法采用数字方式直接合成所需的波形,因而其输出频率的分辨力和精度高,宽范围扫频输出的线性好,有许多现成的DDS集成电路可供选择.综合比较各DDS芯片,我们选择ANALOG公司的AD9851作为我们的本振信号.

2.混频器方案:

混频电路是超外差式接收机的重要组成部分,其作用是输入信号(经滤波,放大)变换为频率固定的中频信号,它的性能直接影响接收机动态范围等性能.

混频一般分为两种方案,可以利用二极管的非线性实现或采用集成的模拟乘法器实现。

采用二极管实现时因分立元件较多,因此电路较为复杂,调试也相对困难,而模拟乘法器的电路和调试都相对容易。

因此我们选择ANALOG公司的AD831作为我们的混频器.

3.滤波器方案:

滤波器是整个频谱分析仪实现的关键环节,也是本实验的最大难点,它决定了频谱分析仪输出频谱的分辨力和形状。

混频电路分为上混频和下混频.所谓上混频,就是将输入信号的频率往上搬移,即把频率为fC的输入信号不失真的变换为载频为fI的信号,不过fI比fC要大.而所谓下混频,则fI比fC要小.倘若我们采用上混频,则我们必须做一个高频的窄带滤波器,而倘若我们采用下混频,只需做一个频率比较低的窄带滤波器,考虑到高频窄带滤波器的难于研制,我们选择下混频方案,为此我们需做一个低频窄带滤波器.

常用的滤波器有LC滤波器、陶瓷滤波器、晶体滤波器、RC有源滤波器和数字滤波器等种类。

而前三种滤波器多用于高频,而数字滤波器,对大量数据序列进行滤波(实现卷积运算)会导致系统速度较慢,影响测量速度。

所以我们选择RC有源滤波器.考虑到系统的稳定性,我们选择MAXIM公司的有源滤波器芯片MAX274作为我们的窄带滤波器.

4.检波器方案:

图1-1中的检波器作用是完成输出调幅信号的解调.一般有同步检波和非相干检波。

不过对于同步检波,其同步信号不是一个固定值,其值随输入信号而变,这样的话,导致同步信号难于产生.而对于非相干检波,需要采用高速的数据采集和处理器件,并且该方法涉及的知识较深、算法较多、高速信号采集和处理的电路复杂,实现较为困难。

而倘若我们利用集成芯片来进行检波,就显得比较容易实行了.经综合考察,我们选定ANALOG公司的AD637来进行有效值检波.

5最终实施方案:

综合比较上述方案,结合题目的要求和我们自身的技术特点,定下以下最终实施方案:

在实际方案中,为了适应不同的扫频速度和频谱分辨力的要求,结合实际滤波器的选频特性,系统采用了一次下混频、滤波的结构,,检波采用AD637检波,滤波器选用MAX274。

另外,为了增大输入信号的动态范围,在输入还增加了一级输入放大电路.如图1-2:

图1-2实际系统框图

二、理论分析与计算

(一).本机振荡器的扫频范围和滤波器的中心频率

题目要求的输入频率测量范围为1MHz30MHz,为了防止混频时产生干扰哨声,混频器的输出滤波器中心频率就必须大于30MHz或者小于1MHz。

如果我们选择上混频,混频器的输出滤波器中心频率就必须大于30MHz,但这样一来,自然增加了设计高频窄带滤波器的难度.所以我们选择下混频,故而混频器的输出滤波器中心频率小于1MHz即可.

从频谱分辨力的角度看,中频带通滤波器1的通带宽度是越小越好,然而由于其输入为扫频信号,为了保证其输出具有一定的强度,窄的带宽就要求低的扫频速率。

而低的扫频速率在大范围扫频时就需要长的扫频时间,从而影响仪器的数据输出率。

而题目要求应该有1KHZ的分辨率,所以我们选定窄带滤波器的带宽为500HZ左右.中心频率在100KHZ左右,但考虑到MAX274设计滤波器的难度,我们将中心频率调至50KHz.

中频滤波器中心频率选定后,扫频范围自然也就确定下来了.为此,取本振的扫频范围为0.5MHz--40MHz,此时对应的输入频率测量范围为0.55MHz39.5MHz,能够满足题目要求的1MHz30MHz的输入频率测量范围。

(二).扫频时间和A/D采样速率

我们知道电子系统的响应速度和其工作的带宽有着密切的联系,带宽越窄,响应速度越慢,为了保证在各级扫描的时候系统能够充分地响应,扫描的速度应当有一个上限。

在具体实现的过程中,扫频的速度由当前的频谱分辨率下所使用的窄带滤波器的带宽决定。

具体实现过程中可通过多次试验得出不同频谱分辨率下的最佳扫频速度。

(三).调幅波、调频波和等幅波的识别原理

如果调制信号为单音余弦波,则AM调幅波表达式为:

其中

为调制指数。

为载波振幅。

将上式用三角函数展开:

Vo(t)=Vmocos(Wct)+MaVmocosWitcosWct=VmocosWct+1/2MaVmocos(Wc+Wi)t+1/2MaVmocos(Wc-Wi)t

如下图所示:

图2-2单音调制时调幅信号的频谱

作为调幅信号,相应的矢量长度是在Vmo上叠加按调制信号规律变化,而矢量的转动角速度即角频率,为恒定值.

而作为调频信号,叠加在Wct上的附加相角按调制信号规律变化.

即W(t)=Wc+dW(t)=Wc+KfV(t)

则调频信号的一般表达式为:

V(t)=Vmcos(Wct+Kf*B(v(t)dt+Qo)式中B表示积分

图2-2单音调制时调频信号的频谱

等幅波就简单了,在频谱上为一条竖线。

图2-3等幅波频谱示意图

综上分析,可以非常清楚的看出这三者的区别,等幅波的频谱就是一条直线,调频波的谱线最为集中,调幅波有三条谱线.

我们可以按照如下步骤来分辨这三者:

a扫频.

b找出扫频中得到的信号频谱所有的极大值.

c如果信号频谱中有1个极大值,则为等幅波;如果有三个极大值,则为调幅波;如果有10个以上极大值,则为调频波.

当然了,在实际测试中,由于滤波器的干扰,或者采样的不稳定,会导致等幅波出现的极大值不止一个,调幅波出现的极大值也不止三条.但是我们仍然可以根据这三种波所对应频谱的极大值的数目来判断,譬如,等幅波L<3,调幅波3<=L<=10;调频波L>10.(其中,L指的是整个频谱中极大值的数目)

三、功能电路设计

(一)本振信号发生电路

按题目要求,产生本振信号的本机振荡电路需要实现一个扫频信号源的功能,能够实现的扫频范围为1MHz到30MHz.

通常情况下,我们可以使用压控振荡源或是自己设计DDS电路.但是在这里,要求的频率范围实在太高,常规方法难于达到要求.

我们最终采用了ANALOG公司的DDFS集成芯片AD9851.

AD9851是AD公司采用先进DDS(直接数字合成)技术,推出的具有高集成度DDS电路的器件,它内部包含高速、高性能D/A转换器及高速比较器,可作为全数字编程控制的频率合成器和时钟发生器。

外接精密时钟源时,AD9851可以产生一个频谱纯净、频率和相位都可以编程控制且稳定性很好的模拟正弦波,这个正弦波能够直接作为基准信号源,或通过其内部高速比较器转换成方波输出,作为灵敏时钟产生器。

DDS即直接数字合成器,其原理框图可参见AD9851的结构图(图3-1)。

它主要包括相位寄存器、相位全加器、D/A转换器,相位寄存器和相位全加器构成相位累加器。

AD9851内部的控制字寄存器首先寄存来自外部的频率、相位控制字,相位累加器接收来自控制字寄存器的数据后决定最终输出信号频率和相位的范围和精度,经过内部D/A转换器后,所得到的就是最终的数字合成信号。

图3-1 AD9851结构框图

如果相位累加器的位数为N,相位控制字的值为FN,频率控制字的位数为M,频率控制字的值为FM,系统外部参考时钟频率为30MHz,6倍参考时钟倍乘器使能,那么经过内部6倍参考时钟倍乘器后,可得到AD9851内部工作时钟FC为180MHz,此时最终合成信号的频率可由公式

(1)来决定,合成信号的相位由公式

(2)来决定。

F=FMFC/2N--------------------------------------------------

(1)

θ=2πFN/2M-------------------------------------------------

(2)

图3-2AD9851外部电路图

(二)输入信号放大及增益控制电路

由于输入信号有效值在20mV+5mV之间,幅度较小,需要进行放大,以便后面的一系列处理,在这里我们设计了信号放大与增益控制电路,为满足较大的动态范围,设计使用AD603做压控增益,由单片机DAC输出直流电压调节AD603的增益,由于AD603带宽为90MHz,足够满足本次设计要求,输出电压最大为1Vpp,增益控制范围-11dB~33dB,其控制电压和增益之间满足如下关系:

然而由于本题要求输入电压有效值较小,故需要进行较大的增益,为了不使AD603工作在较为极限的状态(会导致较大谐波失真),我们考虑使用一级固定增益的预放大,同时由该放大器完成输入阻抗的匹配(50Ω),该运放我们选择了MAXIM公司的MAX477.MAX477带宽300MHz,是一款不错的高速运算放大器,它的用法与普通运放的使用相同.(见图3-3)

图3-3压控增益电路图

(三)混频电路

混频一般分为两种方案,可以利用二极管的非线性实现或采用集成的模拟乘法器实现。

采用二极管实现时因分立元件较多,因此电路较为复杂,调试也相对困难,而模拟乘法器的电路和调试都相对容易。

因此我们选择ANALOG公司的AD831作为我们的混频器.

AD831是AD公司生产的低失真、宽动态范围的单片有源混频器,它输入输出方式多样,使用灵活方便。

AD831由混频器、限幅放大器、低噪声输出放大器和偏置电路等组成.AD831采用双差分模拟乘法器混频电路,具有+24dBm三阶交叉点,且三阶互调失真小,同时有+10dBm的1dB压缩点,线性动态范围大,它的本振输入信号仅需要-10dBm。

同无放大器的混频器相比,它不仅省去了对大功率本振驱动器的要求,而且避免了由大功率本振带来的屏蔽、隔离等问题,因而大大降低了系统费用;AD831的本振和射频输入频率均可达到500MHz.

图3-4混频器AD831电路图

 

(四)滤波器的设计

由于我们需要的本振信号只要0MHz到35MHz即可.而AD9851输出的信号含有很多高频分量,如果我们直接将AD9851输出的信号加到混频器AD831上,那样AD9851的高频成份与起始输入信号相混,就会产生比较严重的混频失真.所以在AD9851的输出口我们必须接上一个截至频率为40MHz的低通滤波器,这样,才能有效消除AD9851输出的波形失真和混频失真.

我们选择LC滤波器,其中,Butter-worth滤波器衰减特性平坦,群时延小,波形通过滤波器失真很小,而且比较容易设计.以下是我们所设计的截至频率为38MHz的Butter-worth低通滤波器.

图3-538MHz低通滤波器

图3-6低通滤波器在MULTISIM中的仿真结果

混频器输出的信号经过一个窄带滤波器,即可将频谱依次移入窄带滤波器,然后经过检波,则可以得出当前频率输入信号所对应的幅度值.而由于我们选择的是下混频,所以我们应该做一个低频的窄带滤波器.

倘若我们用传统的方法制作一个有源窄带滤波器,那样会显得比较难于调试.况且我们要求的带宽非常窄,而中心频率又不能太低(因为题目要求绘出调制频率为20KHz的调幅波的频谱,所以为了便于以后程序调试以及系统正确的完成该完成的功能,我们所选择的窄带滤波器的中心频率至少应该大于20KHz),所以我们决定采用MAXIM公司的集成模拟滤波器MAX274作为我们的窄带滤波器.

MAX274是MAXIM公司推出的一种4阶(MAX274)连续时问有源滤波器,内部有2个2阶状态可变滤波器单元.可实现巴特沃斯型、贝塞尔型及切比雪夫全通型滤波器。

MAX274内部的每个滤波器单元结构如图3-5所示。

其中BPO、LPO分别为带通和低通输出,后一个运放输入端的5K电阻将积分电容与外管脚的寄生电阻隔离,大大提高了滤波器极点的精度。

BPI为带通输入.Fc为工作方式及频率选择。

不需外接电容.只需外接电阻,就可实现工作频率从100Hz到150kHz的低通、带通滤波器,其中心频率、转折频率、Q值、放大倍数等均可由外接电阻加以确定.参数调整十分方便。

由于是单片结构.高频工作时基本不受杂散电容的影响。

电源电压(V+/、,一)为±2.37V~±5.50V.电源电流不超过30mA。

其典型连接图如下所示:

 

图3-7MAX274典型连接图

带通滤波器从BPO输出.我们最终设计了一个中心频率为49.6KHz,带宽250Hz的窄带滤波器.

(五)检波及采样电路

对于同步检波,其同步信号不是一个固定值,其值随输入信号而变,这样的话,导致同步信号难于产生.而对于非相干检波,需要采用高速的数据采集和处理器件,并且该方法涉及的知识较深、算法较多、高速信号采集和处理的电路复杂,实现较为困难。

而倘若我们利用集成芯片来进行检波,就显得比较容易实行了.经综合考察,我们选定ANALOG公司的AD637来进行有效值检波.

使用AD637在测量峰值系数高达10的信号时附加误差仅为1%,且外围元件少、频带宽。

对于有效值为200mv的信号,-3dB带宽为600KHz;对于有效值为1V的信号,-3dB带宽为8MHz。

同时,AD637可对输入信号的电平以dB形式表示,能够计算多种波形的有效值、平均值、均方值和绝对值。

该方案硬件简单,而且精度很高,效果理想。

AD637的内部结构包括有源整流器(即绝对值电路)、平方/除法器、滤波放大器、独立的缓冲放大器(缓冲放大器既可以作为输入缓冲用,也可以构成有源滤波器来滤除纹波,提高测量准确度)、偏置电路五部分。

AD637的基本应用电路如图3-16所示。

图3-8AD637基本应用电路

而对于A/D采样,一方面我们要求采样值的精度要高,另一方面我们要求采样转换速率要快,根据这两点我们选择了MAXIM公司的12位高速A/D转换芯片MAX197.

MAX197是美国Maxim公司推出的一款12位的快速A/D转换芯片,A/D转换时间6μs;5V供电,但是具有多个量程,可以测量的电压为:

0~5V、0~10V、-5~5V、-10~10V,输入通道的耐压值为±16.5V;拥有8路模拟输入通道;具有内外采样模式和内外时钟模式,可以根据使用环境来选择。

MAX197有内部时钟也具有内部基准源,所以使用起来非常方便,几乎不需要什么外围电路,电路结构简单,应用方便。

如图2-18所示是MAX197采用内部时钟、内部基准源模式的电路图,也正是没所选择的工作模式.

 

图3-9MAX197应用电路图

四.系统软件设计

(一)FPGA内部各模块设计

有很多功能我们都可以在FPGA中实现,这样可以减少单片机的负荷量.为了充分以及合理利用FPGA和单片机的资源,我们在FPGA里设计了以下一些模块:

(1)AD9851扫频模块

该模块提供了P0口的锁存功能,最重要的是我们用软件为AD9851设置了一个选通角CS[5](AD9851本来是没有CS的),此举的功效在于合理的分配P0的使用权,以免造成数据对其他功能电路的干扰.(见图4-1)

图4-1AD9851扫频模块图

(2)MAX197采样模块

MAX197的数据口是一个双向口,在每次转换的开始,单片机必须向MAX197送控制字,转换的时候,MAX197必须向单片机送数据.为了解决双向口的问题,我们特地设计了此模块.(见图4-2)

图4-2MAX197采样模块图

(3)LCD显示模块以及键盘扫瞄模块

LCD显示以及键盘扫瞄我们选择了放在FPGA里面处理,这样可以很大程度上节省单片机的资源,以便让单片机去处理更为重要的事情.图4-3是我们设计的LCD显示模块以及键盘扫瞄模块.

图4-3LCD显示模块以及键盘扫瞄模块图

(4)显示部分

MAX197将数据采进单片机后,经过单片机的处理,要通过D/A转换送出去显示.而与此同时,我们还必须将单片机所处理的数据保存下来,所以我们还需要一个双口RAM.而显示的同时,我们还要设定显示在示

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > 人文社科 > 法律资料

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2