SystemVerilog语言教程.docx

上传人:b****2 文档编号:1819729 上传时间:2023-05-01 格式:DOCX 页数:18 大小:26.06KB
下载 相关 举报
SystemVerilog语言教程.docx_第1页
第1页 / 共18页
SystemVerilog语言教程.docx_第2页
第2页 / 共18页
SystemVerilog语言教程.docx_第3页
第3页 / 共18页
SystemVerilog语言教程.docx_第4页
第4页 / 共18页
SystemVerilog语言教程.docx_第5页
第5页 / 共18页
SystemVerilog语言教程.docx_第6页
第6页 / 共18页
SystemVerilog语言教程.docx_第7页
第7页 / 共18页
SystemVerilog语言教程.docx_第8页
第8页 / 共18页
SystemVerilog语言教程.docx_第9页
第9页 / 共18页
SystemVerilog语言教程.docx_第10页
第10页 / 共18页
SystemVerilog语言教程.docx_第11页
第11页 / 共18页
SystemVerilog语言教程.docx_第12页
第12页 / 共18页
SystemVerilog语言教程.docx_第13页
第13页 / 共18页
SystemVerilog语言教程.docx_第14页
第14页 / 共18页
SystemVerilog语言教程.docx_第15页
第15页 / 共18页
SystemVerilog语言教程.docx_第16页
第16页 / 共18页
SystemVerilog语言教程.docx_第17页
第17页 / 共18页
SystemVerilog语言教程.docx_第18页
第18页 / 共18页
亲,该文档总共18页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

SystemVerilog语言教程.docx

《SystemVerilog语言教程.docx》由会员分享,可在线阅读,更多相关《SystemVerilog语言教程.docx(18页珍藏版)》请在冰点文库上搜索。

SystemVerilog语言教程.docx

SystemVerilog语言教程

SystemVerilog语言简介

SystemVerilog是一种硬件描述和验证语言(HDVL),它基于IEEE1364-2001Verilog硬件描述语言(HDL),并对其进行了扩展,包括扩充了C语言数据类型、结构、压缩和非压缩数组、接口、断言等等,这些都使得SystemVerilog在一个更高的抽象层次上提高了设计建模的能力。

SystemVerilog由Accellera开发,它主要定位在芯片的实现和验证流程上,并为系统级的设计流程提供了强大的连接能力。

下面我们从几个方面对SystemVerilog所作的增强进行简要的介绍,期望能够通过这个介绍使大家对SystemVerilog有一个概括性的了解。

1.接口(Interface)

Verilog模块之间的连接是通过模块端口进行的。

为了给组成设计的各个模块定义端口,我们必须对期望的硬件设计有一个详细的认识。

不幸的是,在设计的早期,我们很难把握设计的细节。

而且,一旦模块的端口定义完成后,我们也很难改变端口的配置。

另外,一个设计中的许多模块往往具有相同的端口定义,在Verilog中,我们必须在每个模块中进行相同的定义,这为我们增加了无谓的工作量。

SystemVerilog提供了一个新的、高层抽象的模块连接,这个连接被称为接口(Interface)。

接口在关键字interface和endinterface之间定义,它独立于模块。

接口在模块中就像一个单一的端口一样使用。

在最简单的形式下,一个接口可以认为是一组线网。

例如,可以将PCI总线的所有信号绑定在一起组成一个接口。

通过使用接口,我们在进行一个设计的时候可以不需要首先建立各个模块间的互连。

随着设计的深入,各个设计细节也会变得越来越清晰,而接口内的信号也会很容易地表示出来。

当接口发生变化时,这些变化也会在使用该接口的所有模块中反映出来,而无需更改每一个模块。

下面是一个接口的使用实例:

interfacechip_bus;//定义接口

wireread_request,read_grant;

wire[7:

0]address,data;

endinterface:

chip_bus

moduleRAM(chip_busio,//使用接口

inputclk);

//可以使用io.read_request引用接口中的一个信号

endmodule

moduleCPU(chip_busio,inputclk);

...

endmodule

moduletop;

regclk=0;

chip_busa;//实例接口

//将接口连接到模块实例

RAMmem(a,clk);

CPUcpu(a,clk);

endmodule

实际上,SystemVerilog的接口不仅仅可以表示信号的绑定和互连。

由于SystemVerilog的接口中可以包含参数、常量、变量、结构、函数、任务、initial块、always块以及连续赋值语句,所以SystemVerilog的接口还可以包含内建的协议检查以及被使用该接口的模块所共用的功能。

2.全局声明和语句

在Verilog中,除了一个模块可以作为模块实例引用其他模块外,并不存在一个全局空间。

另外,Verilog允许任意数目的顶层模块,因此会产生毫无关联的层次树。

SystemVeriog增加了一个被称为$root的隐含的顶级层次。

任何在模块边界之外的声明和语句都存在于$root空间中。

所有的模块,无论它处于哪一个设计层次,都可以引用$root中声明的名字。

这样,如果某些变量、函数或其它信息被设计中的所有模块共享,那么我们就可以将它们作为全局声明和语句。

全局声明和语句的一个使用实例如下:

regerror_flag;//全局变量

functioncompare(...);//全局函数

always@(error_flag)//全局语句

...

moduletest;

chip1u1(...)

endmodule

modulechip1(...);

FSMu2(...);

always@(data)

error_flag=compare(data,expected);

endmodule

moduleFSM(...);

...

always@(state)

error_flag=compare(state,expected);

endmodule

3.时间单位和精度

在Verilog中,表示时间的值使用一个数来表示,而不带有任何时间单位。

例如:

forever#5clock=~clock;

从这一句中我们无法判断5代表的是5ns?

5ps?

还是其他。

Verilog的时间单位和精度是作为每一个模块的属性,并使用编译器指令`timescale来设置。

使用这种方法具有固有的缺陷,因为编译器指令的执行依赖于源代码的编译顺序,编译器总是将它遇到的最后一个`timescale设置的时间单位和精度作为之后的标准。

那么,假如有些模块之前没有使用`timescale设置时间单位和精度,这就有可能出现同一个源代码的不同仿真会出现不同结果的情况。

SystemVerilog为了控制时间单位加入了两个重要的增强。

首先,时间值可以显式地指定一个单位。

时间单位可以是s、ms、ns、ps或fs。

时间单位作为时间值的后缀出现。

例如:

forever#5nsclock=~clock;

其次,SystemVerilog允许使用新的关键字(timeunits和timeprecision)来指定时间单位和精度。

这些声明可以在任何模块中指定,同时也可以在$root空间中全局指定。

时间单位和精度必须是10的幂,范围可以从s到fs。

例如:

timeunits1ns;

timeprecision10ps;

4.抽象数据类型

Verilog提供了面向底层硬件的线网、寄存器和变量数据类型。

这些类型代表了4态逻辑值,通常用来在底层上对硬件进行建模和验证。

线网数据类型还具有多个强度级别,并且能够为多驱动源的线网提供解析功能。

SystemVerilog包括了C语言的char和int数据类型,它允许在Verilog模型和验证程序中直接使用C和C++代码。

VerilogPLI不再需要集成总线功能模型、算法模型和C函数。

SystemVerilog还为Verilog加入了几个新的数据类型,以便能够在更抽象的层次上建模硬件。

●        char:

一个两态的有符号变量,它与C语言中的char数据类型相同,可以是一个8位整数(ASCII)或shortint(Unicode);

●        int:

一个两态的有符号变量,它与C语言中的int数据类型相似,但被精确地定义成32位;

●        shortint:

一个两态的有符号变量,被精确地定义成16位;

●        longint:

一个两态的有符号变量,它与C语言中的long数据类型相似,但被精确地定义成64位;

●        byte:

一个两态的有符号变量,被精确地定义成8位;

●        bit:

一个两态的可以具有任意向量宽度的无符号数据类型,可以用来替代Verilog的reg数据类型;

●        logic:

一个四态的可以具有任意向量宽度的无符号数据类型,可以用来替代Verilog的线网或reg数据类型,但具有某些限制;

●        shortreal:

一个两态的单精度浮点变量,与C语言的float类型相同;

●        void:

表示没有值,可以定义成一个函数的返回值,与C语言中的含义相同。

SystemVerilog的bit和其他数据类型允许用户使用两态逻辑对设计建模,这种方法对仿真性能更有效率。

由于Verilog语言没有两态数据类型,因此许多仿真器都通过将这种功能作为仿真器的一个选项提供。

这些选项不能够在所有的仿真器之间移植,而且在需要时用三态或四态逻辑的设计中强制使用两态逻辑还具有副作用。

SystemVerilog的bit数据类型能够极大改进仿真器的性能,同时在需要的时候仍然可以使用三态或四态逻辑。

通过使用具有确定行为的数据类型来代替专有的仿真器选项,两态模型能够在所有的SystemVerilog仿真器间移植。

SystemVerilog的logic数据类型比Verilog的线网和寄存器数据类型更加灵活,它使得在任何抽象层次上建模硬件都更加容易。

logic类型能够以下面的任何一种方法赋值:

●        通过任意数目的过程赋值语句赋值,能够替代Verilog的reg类型;

●        通过单一的连续赋值语句赋值,能够有限制地替代Verilog的wire类型;

●        连接到一个单一原语的输出,能够有限制地替代Verilog的wire类型;

由于logic数据类型能够被用来替代Verilog的reg或wire(具有限制),这就使得能够在一个更高的抽象层次上建模,并且随着设计的不断深入能够加入一些设计细节而不必改变数据类型的声明。

logic数据类型不会表示信号的强度也不具有线逻辑的解析功能,因此logic数据类型比Verilog的wire类型更能有效地仿真和综合。

5.有符号和无符号限定符

缺省情况下,Verilognet和reg数据类型是无符号类型,integer类型是一个有符号类型。

Verilog-2001标准允许使用signed关键字将无符号类型显式地声明成有符号类型。

SystemVerilog加入了相似的能力,它可以通过unsigned关键字将有符号数据类型显式地声明成有无符号数据类型。

例如:

intunsignedj;

值得注意的是unsigned在Verilog中是一个保留字,但并没有被Verilog标准使用。

6.用户定义的类型

Verilog不允许用户定义新的数据类型。

SystemVerilog通过使用typedef提供了一种方法来定义新的数据类型,这一点与C语言类似。

用户定义的类型可以与其它数据类型一样地使用在声明当中。

例如:

typedefunsignedintuint;

uinta,b;

一个用户定义的数据类型可以在它的定义之前使用,只要它首先在空的typedef中说明,例如:

typedefint48;//空的typedef,在其他地方进行完整定义

int48c;

7.枚举类型

在Verilog语言中不存在枚举类型。

标识符必须被显式地声明成一个线网、变量或参数并被赋值。

SystemVerilog允许使用类似于C的语法产生枚举类型。

一个枚举类型具有一组被命名的值。

缺省情况下,值从初始值0开始递增,但是我们可以显式地指定初始值。

枚举类型的例子如下:

enum{red,yellow,green}RGB;

enum{WAIT=2’b01,LOAD,DONE}states;

我们还可以使用typedef为枚举类型指定一个名字,从而允许这个枚举类型可以在许多地方使用。

例如:

typedefenum{FALSE=1’b0,TRUE}boolean;

booleanready;

booleantest_complete;

8.结构体和联合体

在Verilog语言中不存在结构体或联合体,而结构体或联合体在将几个声明组合在一起的时候非常有用。

SystemVerilog增加了结构体和联合体,它们的声明语法类似于C。

struct{

reg[15:

0]opcode;

reg[23:

0]addr;

}IR;

union{

intI;

shortrealf;

}N;

结构体或联合体中的域可以通过在变量名和域名字之间插入句点(.)来引用:

IR.opcode=1;//设置IR变量中的opcode域

N.f=0.0;//将N设置成浮点数的值

我们可以使用typedef为结构体或联合体的定义指定一个名字。

typedefstruct{

reg[7:

0]opcode;

reg[23:

0]addr;

}instruction;//命名的结构体

instructionIR;//结构体实例

一个结构体可以使用值的级联来完整地赋值,例如:

instruction={5,200};

结构体可以作为一个整体传递到函数或任务,也可以从函数或任务传递过来,也可以作为模块端口进行传递。

9.数组

在Verilog中可以声明一个数组类型,reg和线网类型还可以具有一个向量宽度。

在一个对象名前面声明的尺寸表示向量的宽度,在一个对象名后面声明的尺寸表示数组的深度。

例如:

reg[7:

0]r1[1:

256];//256个8位的变量

在SystemVerilog中我们使用不同的术语表示数组:

使用“压缩数组(packedarray)”这一术语表示在对象名前声明尺寸的数组;使用“非压缩数组(unpackedarray)”这一术语表示在对象名后面声明尺寸的数组。

压缩数组可以由下面的数据类型组成:

bit、logic、reg、wire以及其它的线网类型。

无论是压缩数组还是非压缩数组都可以声明成多维的尺寸。

bit[7:

0]a;//一个一维的压缩数组

bitb[7:

0];//一个一维的非压缩数组

bit[0:

11][7:

0]c;//一个二维的压缩数组

bit[3:

0][7:

0]d[1:

10];//一个包含10个具有4个8位字节的压缩数组的非压缩数组

非压缩尺寸在压缩尺寸之前引用,这就允许将整个压缩数组作为一个单一的元素进行引用。

在上面的例子中,d[1]引用非压缩数组的一个单一元素,这个元素是一个包含4个字节的数组。

10.在为命名的块中声明

Verilog允许变量在一个命名的begin-end或fork-join语句组中声明。

相对于语句组来说,这些变量是本地的,但它们可以被层次化地引用。

在SystemVerilog中,既可以在命名的块中也可以在未命名的块中声明。

在未命名的块中,不能够使用层次名来访问变量。

所有的变量类型,包括用户定义的类型、枚举类型、结构体和联合体都可以在begin-end或fork-join语句组中声明。

11.常量

在Verilog中有三种特性类型的常量:

parameter、specparam和localparam。

而在SystemVerilog中,允许使用const关键字声明常量。

例如:

constcharcolon=“:

”;

12.可重定义的数据类型

SystemVerilog扩展了Verilog的parameter,使其可以包含类型。

这个强大的功能使得一个模块中的数据类型在模块的每一个实例中重新定义。

例如:

modulefoo;

#(parametertypeVAR_TYPE=shortint;)

(inputlogic[7:

0]i,outputlogic[7:

0]o);

VAR_TYPEj=0;//如果不重新定义,j的数据类型为shortint

endmodule

modulebar;

logic[3:

0]i,o;

foo#(.VAR_TYPE(int))u1(i,o);//重新将VAR_TYPE定义成int类型

endmodule

13.模块端口连接

在Verilog中,可以连接到模块端口的数据类型被限制为线网类型以及变量类型中的reg、integer和time。

而在SystemVerilog中则去除了这种限制,任何数据类型都可以通过端口传递,包括实数、数组和结构体。

14.字母值

在Verilog中,当指定或赋值字母值的时候存在一些限制。

而SystemVerilog则为字母值如何指定作了下面的增强:

●        一个字母值的所有位均可以使用`0、`1、`z或`x作相同的填充。

这就允许填充一个任意宽度的向量,而无需显式地指定向量的宽度,例如:

bit[63:

0]data;

data=`1;//将data的所有位设置成1

●        一个字符串可以赋值成一个字符数组,象C语言一样加入一个空结束符。

如果尺寸不同,它象C中一样进行左调整,例如:

charfoo[0:

12]=“helloworldn”;

●        加入了几个特殊的串字符:

v:

垂直TAB

f:

换页

a:

响铃

x02:

用十六进制数来表示一个ASCII字符

●        数组可以使用类似于C初始化的语法赋值成字符值,但它还允许复制操作符。

括号的嵌套必须精确地匹配数组的维数(这一点与C不同),例如:

intn[1:

2][1:

3]={{0,1,2},{3{4}}};

 

15.强制类型转换

Verilog不能将一个值强制转换成不同的数据类型。

SystemVerilog通过使用’操作符提供了数据类型的强制转换功能。

这种强制转换可以转换成任意类型,包括用户定义的类型。

例如:

int’(2.0*3.0)//将结果转换为int类型

mytype’(foo)//将foo转换为mytype类型

一个值还可以通过在强制转换操作符前指定一个10进制数来转换成不同的向量宽度,例如:

17’(x-2)//将结果转换为17位宽度

也可以将结果转换成有符号值,例如:

signed’(x)//将x转换为有符号值

16.操作符

Verilog没有C语言的递增(++)和递减(--)操作符。

而SystemVerilog加入了几个新的操作符:

●        ++和--:

递增和递减操作符;

●        +=、-=、*=、/=、%=、&=、^=、|=、<<=、>>=、<<<=和>>>=赋值操作符;

17.唯一性和优先级决定语句

在Verilog中,如果没有遵循严格的编码风格,它的if-else和case语句会在RTL仿真和RTL综合间具有不一致的结果。

如果没有正确使用full_case和parallel_case综合指令还会引起一些其它的错误。

SystemVerilog能够显式地指明什么时候一条决定语句的分支是唯一的,或者什么时候需要计算优先级。

我们可以在if或case关键字之前使用unique或requires关键字。

这些关键字可以向仿真器、综合编译器、以及其它工具指示我们期望的硬件类型。

工具使用这些信息来检查if或case语句是否正确建模了期望的逻辑。

例如,如果使用unique限定了一个决定语句,那么在不希望的case值出现的时候仿真器就能够发布一个警告信息。

bit[2:

0]a;

uniqueif((a==0)||(a==1))y=in1;

elseif(a==2)y=in2;

elseif(a==4)y=in3;//值3、5、6、7会引起一个警告

priorityif(a[2:

1]==0)y=in1;//a是0或1

elseif(a[2]==0)y=in2;//a是2或3

elsey=in3;//如果a为其他的值

uniquecase(a)

0,1:

y=in1;

2:

y=in2;

4:

y=in3;

endcase//值3、5、6、7会引起一个警告

prioritycasez(a)

2’b00?

:

y=in1;//a是0或1

2’b0?

?

:

y=in2;//a是2或3

default:

y=in3;//如果a为其他的值

endcase

18.底部检测的循环

Verilog包含for、while和repeat循环,这几个循环都是在循环的起始处检测循环条件。

SystemVerilog加入了一个do-while循环,这种循环在执行语句的结尾处检测循环条件。

19.跳转语句

在语句的执行过程中,C语言提供了几种方式来跳转到新的语句,包括:

return、break、continue和goto。

在Verilog中除了通过使用disable语句跳转到语句组的尾部外,没有提供任何其它跳转语句。

使用disable语句执行中止和继续功能要求加入块的名字,并且会产生不直观的代码。

SystemVerilog加入了C语言的break和continue关键字,这两个关键字不要求使用块名字。

另外,SystemVerilog还加入了一个return关键字,它可以用来在任何执行点上退出一个任务或函数。

●        break:

退出一个循环,与C语言相同;

●        continue:

跳转到一个循环的尾部,与C语言相同;

●        return表达式:

退出一个函数;

●        return:

退出一个任务或void类型的函数。

SystemVerilog没有包含C语言中的goto语句。

20.块名字和语句标签

在Verilog中,我们可以通过在begin或fork关键字之后指定名字来为begin-end或fork-jion语句指定名字。

这个指定的名字代表整个语句块。

SystemVerilog还允许在end或jion关键字之后指定一个匹配的块名字。

这种机制很容易将end或jion与对应的begin或fork联系起来,尤其是在一个长的块或嵌套的块中。

块结尾处的名字是可选的,但如果使用的话,它必须与块起始处的名字相同。

例如:

begin:

foo//在begin之后的块名字

fork:

bar//具有名字的嵌套的块

jion:

bar//必须具有相同的名字

end:

foo//必须具有相同的名字

SystemVerilog还允许像C语言一样为单个语句设置标签。

语句标签放置在语句的前面,用来标识这条语句。

例如:

initialbegin

test1:

read_enable=0;

test2:

for(i=0;i<=255;i++)

end

21.对事件控制的增强

Verilog使用@标记来控制基于特定事件的执行流,SystemVerilog增强了@事件控制。

●        有条件的事件控制

@标记的一个基本应用就是推断一个具有使能输入的锁存器。

下面的例子演示了一个锁存器建模的基本风格。

always@(dataoren)

if(en)y<=data;

这种编码风格对仿真来说是效率低下的,因为即使在使能输入无效的时候,数据输入的每次改变都会触发事件控制。

SystemVerilog在事件控制中加入了一个iff条件。

只有iff条件为真的条件下,事件控制才会被触发。

通过将使能判断移入到事件控制里面,使得只有在锁存器输出能够改变的时候事件控制才会被触发。

例如:

always@(aoreniffen==1)

y<=a;

●        事件控制中的表达式

Verilog允许在@事件控制列表中使用表达式,例如:

always@((a*b))

always@(memory[address])

在第一个例子中,是当操作数发生改变的时候还是只有当运算结果发生改变的时候才会触发事件控制?

在第二个例子中,是当me

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 总结汇报 > 学习总结

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2