CPLD控制数字时钟.docx

上传人:b****2 文档编号:1918611 上传时间:2023-05-02 格式:DOCX 页数:21 大小:310.64KB
下载 相关 举报
CPLD控制数字时钟.docx_第1页
第1页 / 共21页
CPLD控制数字时钟.docx_第2页
第2页 / 共21页
CPLD控制数字时钟.docx_第3页
第3页 / 共21页
CPLD控制数字时钟.docx_第4页
第4页 / 共21页
CPLD控制数字时钟.docx_第5页
第5页 / 共21页
CPLD控制数字时钟.docx_第6页
第6页 / 共21页
CPLD控制数字时钟.docx_第7页
第7页 / 共21页
CPLD控制数字时钟.docx_第8页
第8页 / 共21页
CPLD控制数字时钟.docx_第9页
第9页 / 共21页
CPLD控制数字时钟.docx_第10页
第10页 / 共21页
CPLD控制数字时钟.docx_第11页
第11页 / 共21页
CPLD控制数字时钟.docx_第12页
第12页 / 共21页
CPLD控制数字时钟.docx_第13页
第13页 / 共21页
CPLD控制数字时钟.docx_第14页
第14页 / 共21页
CPLD控制数字时钟.docx_第15页
第15页 / 共21页
CPLD控制数字时钟.docx_第16页
第16页 / 共21页
CPLD控制数字时钟.docx_第17页
第17页 / 共21页
CPLD控制数字时钟.docx_第18页
第18页 / 共21页
CPLD控制数字时钟.docx_第19页
第19页 / 共21页
CPLD控制数字时钟.docx_第20页
第20页 / 共21页
亲,该文档总共21页,到这儿已超出免费预览范围,如果喜欢就下载吧!
下载资源
资源描述

CPLD控制数字时钟.docx

《CPLD控制数字时钟.docx》由会员分享,可在线阅读,更多相关《CPLD控制数字时钟.docx(21页珍藏版)》请在冰点文库上搜索。

CPLD控制数字时钟.docx

CPLD控制数字时钟

 

宁波技师学院

课程设计

 

设计题目  数字时钟

专业班级  07电气(六)1 

学生姓名姚珊珊  学号35号 

学生姓名蒋佳娜  学号16号

指导教师刘军 指导教师陈弢 

起止日期 2011年9月3日到2010年10月9日

 

宁波技师学院电气技术系

二零一一年九月

1引言

有关钟表的发展过程,大致可以分为三个演变阶段,那就是:

一、从大型钟向小型钟演变。

二、从小型钟向袋表过渡。

三、从袋表向腕表发展。

每一阶段的发展都是和当时的技术发明分不开的。

时钟的功能也是越来越多,从一开始的简单计时,到现在的定时,闹钟等等。

单片机被广泛运用在工业自动化控制、以其仪器仪表、数据采集、通讯及家用电器等领域。

单片机,以其与通用微机完全不同的发展模式,不断满足工业测控、恶劣环境下可靠运行的要求。

单片机已成为现代领域中不可缺少的重要角色。

单片机发展速度十分迅速,速度更快、功能更强的16位、32位单片机已陆续问世,但8位机,特别是新一代高档8位机具有优异的性能,已能满足大部分单片机应用领域的需要,另外,它还具有可靠性、外围芯片配套、系统构成简单、应用软件丰富、技术成熟、开发运用方便等优点,单片机运用中仍有一定的市场。

在这次的课程设计中我们用51单片机设计了一个数字时钟,其包括计时和校正。

我们通过共阴德数码管来显示,时,分,秒。

定时则用四个按键,分别为设置,加1,减1,和复位。

 

2总体设计方案

2.1设计要求

1.计时以数字形式显示小时,分钟,秒。

2.小时的计时要求为24进制,分秒要求为60进制。

3.具有校时,校分,校秒,功能。

4.校正具有加与减功能。

2.2设计目的

1.巩固单片机理论知识。

2.熟悉单片机指令的运用。

3.熟悉单片机各种功能例如中断。

4.掌握各类芯片的功能例如74LS138。

5.掌握和学习MEDWIN软件。

6.掌握和学习ISISPr0fessional软件。

开始

初始值设置

判断设置键是否按下

秒计时

2.3系统框图

 

 

50MHZ是由有源晶振本身自带的,但我们需要的是标准1HZ的信号。

因此,我们就运用了分频。

首先用5分屏把信号分成10MHZ,再三个用100分频分成一个标准的1HZ。

把这个脉冲信号输入到记数器当中。

脉冲是先加入到秒记数器,而其进位时给下一个记数器作为脉冲,依此类推到小时。

记数器有脉冲输入后便开工作,输出再通过译码驱动加给数码管。

数码管就开始显示数字。

例如:

脉冲加入到秒记数器的各位数当中,741160工作,从0000开始加记数一直到1001,进位给秒记数器的十位数。

此时数码管显示的是10。

以这样的状态加到数码管显示59,再进位给分记数的个位,进行记数。

个位的进位给十位,再推到小时的个位器个位在进位给十位。

数字时钟就这样一直工作,给我们显现一个时间。

 

3硬件设计

3.1CPLD的简介

CPLD主要是由可编程逻辑宏单位(MC,MACROCELL)围绕中心的可编程互连矩阵单元组成。

其中MC结构较复杂,并具有复杂的I/O单元互连结构,可由用户根据需要生成特定的电路结构完成一定的功能。

由于CPLD内部采用固定长度的金属线进行各逻辑块互连所以设计的逻辑电路具有时间可预测性,避免了分段式互连结构持续不完全预测的缺点。

CPLD复杂可编程逻辑器件,是从PAL和GAL器件发展出来的器件相对而言规模大结构复杂,属于大规模集成电路范围。

是一种用户根据各自需要而自行构造逻辑功能的数字集成电路。

其基本设计方法是借助集成开发软件平台,用原理图、硬件描述语言等方法。

生成相应的目标文件,通过下载电缆将代码传输到目标芯片中实现设计的数字系统。

20世纪70年代,最早可编程逻辑器件PLD诞生了。

其输出结构是可编程的逻辑宏单元,因为它的硬件结构设计可由软件完成,因而它的设计比纯硬件的数字电路具有很强的灵活性,但其过于简单的结构也使它只能实现规模较小的电路。

为弥补PLD只能设计小规模电路这一缺陷。

20世纪80年代中期,推出了复杂可编程逻辑器件CPLD。

目前应用已深入网络仪器仪表、汽车电子、数控机床、航天测控等方面。

它的特点:

它具有编程灵活、集成度高、设计开发周期短、适用范围宽、开发工具先进、设计制造成本低、对设计者的硬件经验要求低、标准产品无需测试、保密性强、价格大众化等特点,可实现较大规模的电路设计,因此被广泛应用于产品的原型设计和产品生产(一般在10,000件以下)之中。

几乎所有应用中小规模通用数字集成电路的场合均可应用CPLD器件。

CPLD器件已成为电子产品不可缺少的组成部分,它的设计和应用成为电子工程师必备的一种技能。

CPLD芯片一共有100个管脚,其中真正可以使用的管脚只有80左右,因为在芯片中需要接VCC、接GND、接电源、接JATG、接各类点需要20左右,其中还有一些管脚不能进行使用,在根据芯片的管脚指示图所示,进行对各个管脚的作用理解,例如有3.3V电源口、GND口、VCC口、JATG口等。

经过数电的学习,为提高自己的知识,所以本次课程设计我们也应用了CPLD。

3.2电源部分

CPLD芯片EPM240T100C5不能在5V电压下工作,需要3.3V的工作电源电压,本设计采用电源稳压块AMS1117为CPLD将5V电压转换成3.3V。

电源电路原理图如下所示。

3.3CPLD外部原理图

4CPLD内部原理图设计

1.1HZ信号源

由于芯片本身带有50MHZ的有源晶振,不能直接加到计数器的CLK端,所以我门要用分频电路,本次分频电路我门运用了74160芯片。

图2-1就是74160的介绍,表2-1为74160的功能表。

图2-1

QAQBQCQD为输出信号

ABCD为输入信号

LDN为预置数控制端

ENTENP为计数控制

CLRN为置零端

CLK为脉冲输入

CLK

RD’

LD’

EPET

工作状态

X

X

X

0

1

1

1

1

X

0

1

1

1

XX

XX

11

X0

11

置零

预置数

保持

保持(但C=0)

记数

表2-1

EP,ET为高电平有效,当接高电平时74160做加计数,LDN和CLRN为底电平有效,当接入底电平时电路置数或置零。

时钟要精确的计时,需要标准1HZ的脉冲,我们要将50MHZ分为1HZ的脉冲,先将50MHZ通过一个5分频电路变为10MHZ,再通过3个100分频的电路变为1HZ加到记数器的脉冲端。

图2-3就是我们CPLD分频电路的原理图

图2-3

 

2.计数器

本次时钟我们要用74160,74160为10进制的计数器,功能详见图3-1。

数字钟计时周期为24小时,因此必须设置24小时计数器,它应由模为60的秒计数器、模为60的分计数器及模为24的时计数器组成。

经过分频器得到的1HZ的秒脉冲信号被送到计时电路,计时电路由六级计数器构成。

完成“时”、“分”、“秒”计数。

其中“秒”、“分”计数均为六十进制,“时”为二十四进制。

即当计数状态达到所需状态后,译码,显示。

“分”、“秒”计数电路是六十进制计数器,我们采用两只十进制计数器74160,通过与非门加到前一级74160CLK端来实现进位,与清0,为“0110”时,进位输出1。

图2-4为CPLD内部原理图。

 

74LS160实现六十进制计数

 

3.译码显示

7447芯片的介绍,图2-6为7447的外部原理图。

图2-6

ABCD输入信号

AbcdefG输出信号

LTN测试端

RBIN灭零输入端

BIN、RBON灭零输出端

图2-7

时、分、秒均为数字显示,需要由计数器经译码器驱动数码管实现。

显示器件选用发光二极管数码管,因为7447只驱动共阳数码管,所以选用共阳数码管,高电平输出译码器驱动共阴数码管。

7447的管脚排列如图所示。

该器件输入信号为BCD码,输出端为a、b、c、d、e、f、g共7线,另有3条控制线

端为测试端。

端接高电平的条件下,当

=0时,无论输入端A、B、C、D为何值,a~g输出全为高电平,使7段显示器件显示“8”字型,此功能用于测试器件。

端为灭零输入端。

=1,

条件下,当输入A、B、C、D=0000时,输出a~g全为低电平,可使共阳LED显示器熄灭。

但当输入A、B、C、D不全为零时,仍能正常译码输出,使显示器正常显示。

端为消隐输入端。

该输入端具有最高级别的控制权,当该端为低电平时,不管其他输入端为何值,输出端a~g均为低电平,这可使共阳显示器熄灭。

另外,该端还有第二功能——灭零信号输出端,记为

当该位输入的A、B、C、D=0000且

时,此时

输出低电平;若该位输入的A、B、C、D不等于零,则

输出高电平。

若将

配合使用,很容易实现多位数码显示时的灭零控制。

例如对整数部分,将最高位的

接地,这样当最高位为零时“灭零”,同时该位

输出低电平,使下一位的

为低电平,故也具有“灭零”功能;而对于小数部分,应将最低位的

接地,个位的

端悬空或接高电平,低位的

接至高位的

图2-8为CPLD内部原理图。

图2-8

4.校时电路

为使数字钟的走时与标准时间一致,校时电路必不可少。

由门电路和开关等组成。

该校准电路可以用来实现校时、校分、校秒,正常工作时左边开关按下,输出高电平,输出低电平,正常输入信号通过和输出,加到个位计数器的CP脉冲端。

作为校“时”电路时正常输入信号时“分”进位信号,校准信号可以用秒脉冲信号,需要校准时将右边开关按下,校准信号(秒脉冲)就可以送到时个位计数器的计数输入端。

“分”校准和“秒”校准的道理与“时”校准是相同的,只是输入信号不同。

“分”校准电路的正常输入是“秒”进位信号,校准输入也是秒脉冲,“秒”校准电路的正常输入是秒脉冲。

当调到需要的数字后,拨动开关,计数器能继续正常工作。

5.CPLD内部原理总图

6CPLD写入

根据芯片的角进行定义,必须要搞清楚其编号,要是搞混了避讳出现错误。

clk1LocationPIN_40

clk2LocationPIN_48

pin_name25LocationPIN_29

pin_name26LocationPIN_34

clk3LocationPIN_42

pin_name21LocationPIN_30

pin_name22LocationPIN_28

pin_name23LocationPIN_35

pin_name24LocationPIN_33

pin_name27LocationPIN_36

pin_name28LocationPIN_4

pin_name29LocationPIN_2

pin_name32LocationPIN_14

pin_name33LocationPIN_16

pin_name34LocationPIN_18

pin_name35LocationPIN_6

pin_name36LocationPIN_8

pin_name37LocationPIN_7

pin_name38LocationPIN_12

pin_name39LocationPIN_15

pin_name40LocationPIN_17

pin_name41LocationPIN_19

pin_nameLocationPIN_49

pin_name42LocationPIN_5

pin_name43LocationPIN_3

pin_name44LocationPIN_88

pin_name45LocationPIN_86

pin_name46LocationPIN_96

pin_name47LocationPIN_98

pin_name48LocationPIN_100

pin_name49LocationPIN_90

pin_name50LocationPIN_92

PIN_kaiguanshuchuLocationPIN_62

pin_kaiguanshuruLocationPIN_56

pin_name51LocationPIN_83pin_name52LocationPIN_85

5元件清单

元件名称

数量

参数型号

封装

备注

电阻

6

300R

AXIAL0.3

电阻

2

1K

AXIAL0.3

数码管

6

共阳

DIQ24

开关

2

刀开关

KUAIGAUN

按钮

1

常开

KUAIGUAN

CPLD芯片

1

EPM240T100C5

LCC100

CPLD

 

6制作和调试

6.1制作步骤

1、查阅相关资料,确定课题名称

2、进行程序的改写和电路仿真的实验

3、仿真成功之后里涌PROTLE进行电路的绘制并绘制PCB印刷电路板

4、制作印刷电路板

5、制作整个电路的实物,将所有的元器件焊接上去

6、将程序烧写到芯片里面

7、进行调试

8、书写论文报告

6.2调试步骤

1、先将程序烧写到芯片

2、将电路板接入5v电压

3、调整其电路板,数码管开始显示数字

4、进位时前的数字不是59,有点乱码

5、查看仿真图,没错误,再查看CPLD内部原理图,发现有些线连错,改正错误

6、再次通电调试,又发现数码管缺码。

量其对应角,发现是通的。

按动芯片数码管,缺角部分亮了,原来是芯片未插好。

7、再次通电调试,看其是否正常工作,发现其记数时不是正常规律,还得检查CPLD内部原理图是否又将线连错,在与仿真图仔细对比后找到错误点,将其改正。

8、基本功能完成,只是数码管会忽然缺码,这跟芯片本身有点联系。

9、电路板正常工作算完成

 

7结论

本设计方案基本上达到了设计的要求,通过调试,电路能够正常地运行。

由何银辉制作,郑珂君岑哲鑫帮助,姚珊珊负责写报告。

这也是我们一组大家合心协力的结果。

数字钟的硬件设计成为数字电子技术课程设计传统的课题之一,其生命力就在于知识的综合化。

这样的设计课题虽小却是一个完整的系统,知识覆盖面广,开拓了我们的视野;综合能力要求高,凋动了我们本身的潜力.既拓展了理论所学,又强化了实践技能。

如时钟部分选用石英晶振电路而后分频则更为精确。

但我们必须让学生明白,它只是课程设计。

从产品设计的角度说,既不廉价,也不省事;从数字钟设计方法看,通过对适当规模的CPLD器件心实现:

在EWB环境中也可实现对数字钟的仿真。

硬什设计仍很必要。

既做就要尽可能做好。

第一次课程设计即将结束,在这次实习中,我们学到很多。

也因为这次课程设计让我们有机会学习到了一个新的软件----CPLD。

这个软件的运用让我们的电路的体积缩小了许多,我们从此也感受到了科技的发达给我们带来的方便。

不过要用好这个软件,还需数电的基本为底,我们的前提是先将仿真图成功后才能。

制作与调试相比较,调试相对而言就困难的多,出现问题了必须根据原理推论,找到问题所在,并给及解决。

这对我们的总体思路的理顺有一定的帮助。

我们相信在下一次的制作,我们会做的更好,更成熟。

期待着下一次的挑战。

 

8致谢

这次的课程设计能圆满的完成任务,在此要感谢陈建羊·刘军两位老师的指导与帮助,在你们的帮助指导之下才能顺利的把课题能制作完成,特别是运用新软件,我们从新手一步一步的熟知这个软件,而且我们能在课程设计中把它运用的十分成功,这也是靠老师你们的帮助与辛勤教导。

每当我们遇到困难时,你们总是及时的伸出援救之手,帮助我们脱离困难。

虽然在与老师交谈之中产生了一点小误会,不过这阻拦不住我们师生之间的感情。

对于我们的冒犯,我们深感抱歉。

相信老师的大量早已把这事给忘记了。

我们会学着长大与成熟,不管在做事和做人上,每一次的经历都是一种磨砺。

同时也要感谢相关的资料的作者,是你们的资料让我有了坚强的后盾的理论知识支持。

在此我们万分感谢你们。

谢谢!

 

9参考文献

《实用电子电路》刘修文编中国电力出版社

《电工·电子技术实习与课程设计》华荣茂过军主编电子工业出版社

《电子报20004》

《新型集成器件实用电路》杨帮文编电子工业出版社

《数字电子技术基础》阎石主编高等教育出版社

 

附录1仿真图

附录2CPLD内部原理图

附录3PCB图

附录4实物图

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 法律文书 > 调解书

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2