EDA课设报告Word文档格式.docx

上传人:b****2 文档编号:799293 上传时间:2023-04-29 格式:DOCX 页数:15 大小:280.37KB
下载 相关 举报
EDA课设报告Word文档格式.docx_第1页
第1页 / 共15页
EDA课设报告Word文档格式.docx_第2页
第2页 / 共15页
EDA课设报告Word文档格式.docx_第3页
第3页 / 共15页
EDA课设报告Word文档格式.docx_第4页
第4页 / 共15页
EDA课设报告Word文档格式.docx_第5页
第5页 / 共15页
EDA课设报告Word文档格式.docx_第6页
第6页 / 共15页
EDA课设报告Word文档格式.docx_第7页
第7页 / 共15页
EDA课设报告Word文档格式.docx_第8页
第8页 / 共15页
EDA课设报告Word文档格式.docx_第9页
第9页 / 共15页
EDA课设报告Word文档格式.docx_第10页
第10页 / 共15页
EDA课设报告Word文档格式.docx_第11页
第11页 / 共15页
EDA课设报告Word文档格式.docx_第12页
第12页 / 共15页
EDA课设报告Word文档格式.docx_第13页
第13页 / 共15页
EDA课设报告Word文档格式.docx_第14页
第14页 / 共15页
EDA课设报告Word文档格式.docx_第15页
第15页 / 共15页
亲,该文档总共15页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

EDA课设报告Word文档格式.docx

《EDA课设报告Word文档格式.docx》由会员分享,可在线阅读,更多相关《EDA课设报告Word文档格式.docx(15页珍藏版)》请在冰点文库上搜索。

EDA课设报告Word文档格式.docx

4.1汽车尾灯主控模块

汽车尾灯主控模块的工作框图如图4.1所示:

-CTRL

图4.1汽车尾灯主控模块

数据入口:

RIGHT右转信号;

LEFT左转信号;

BRAKE刹车信号;

NIGHT夜间行驶信号;

数据出口:

LP:

左侧灯控制信号;

RP右侧灯控制信号;

LR错误控制信号;

BRAKE_LED刹车控制信号;

NIGHT_LED夜间行驶控制信号;

VHD程序(CTRL.VH)

LIBRARYIEEE;

USEIEEE.STD_LOGIC_1164.ALL;

ENTITYCTRLIS

PORT(LEFT,RIGHT,BRAKE,NIGHTNSTD_LOGIC;

LP,RP,LR,BRAKE_LED,NIGHT_LEdUTSTD_LOGIC);

ENDENTITYCTRL;

ARCHITECTUFARTOFCTRLIS

BEGIN

NIGHT_LED<

=NIGHT;

BRAKE_LED<

=BRAKE;

PROCESS(LEFT,RIGHT)

VARIABLETEMP:

STD_LOGIC_VECTODOWNT0);

TEMP:

=LEFT&

RIGHT;

CASETEMPIS

WHENOO"

=>

LPv='

O'

;

RPv='

LRv='

--当汽车直行时,左右灯都

不亮

WHENO1"

LP<

='

1'

--当汽车右拐时,右拐指示

灯亮

WHEN1O"

--当汽车左拐时,左指示灯

WHENOTHERS=>

RP<

LR<

--当汽车刹车时,左右灯都

ENDCASE;

ENDPROCESS;

ENDARCHITECTURART;

功能:

该段程序用于对汽车尾灯进行整体控制,当输入为左转信号时,输出左侧

灯控制信号;

当输入为右转信号时,输出右侧灯控制信号;

当同时输入LEFT

和RIGHT信号时,输出错误控制信号。

当输入为刹车信号时,输出刹车控制信号;

当输入为夜间行驶信号时,输出为夜间行驶控制信号。

4.2左边灯控制模块

左边灯控制模块的工作框图如图4.2所示:

图4.2左边灯控制模块

数据入口:

CLK时钟控制信号;

LR:

错误控制信号;

BRAKE刹车控制信号;

NIGHT夜间行驶控制信号;

数据出口:

LEDL左侧LD1灯控制信号;

LEDB左侧LD2灯控制信号;

LEDN左侧LD3灯控制信号;

VHD程序(LC.VHD

ENTITYLCIS

PORT(CLK,LP,LR,BRAKE,NIGHTNSTD_LOGIC;

LEDL,LEDB,LEDNOUTSTD_LOGIC);

ENDENTITYLC;

ARCHITECTUFARTOFLCIS

LEDB<

LEDN<

PROCESS(CLK,LP,LR)

IFCLK'

EVENTANDCLK='

THEN

IF(LR='

)THEN

IF(LP='

)THEN

LEDL<

0'

ELSE--相反情况

ENDIF;

ELSELEDL<

本程序用于控制左侧灯的亮、灭和闪烁情况,当时钟上升沿信号和左侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,左侧相应的灯亮或出现闪烁。

当错误控制信号出现时,LD1灯不亮。

4.3右边灯控制模块

右边灯控制模块的工作框图如图4.3所示:

FC

CK

RF=L.EJZ&

LRLECM

BFWKE.

WIGHT

grf斗

图4.3右边灯控制模块

NIGHT夜间行驶控制信号;

LEDR右侧RD1灯控制信号;

LEDB右侧RD2灯控制信号;

LEDN右侧RD3灯控制信号;

VHDI程序(RC.VHD

ENTITYRCIS

PORT(CLK,RP,LR,BRAKE,NIGHTNSTD_LOGIC;

LEDR,LEDB,LEDNOUTSTD_LOGIC);

ENDENTITYRC;

ARCHITECTUFARTOFRCIS

PROCESS(CLK,RP,LR)

THEN--检测时钟上升沿

IF(RP='

LEDR<

ELSE

本描述用于控制右侧灯的亮、灭和闪烁情况,当时钟上升沿信号和右侧灯控制信号或刹车控制信号或夜间行驶信号同时出现时,右侧相应的灯亮或出现闪烁。

当错误控制信号出现时,RD1灯不亮。

4.4时钟分频模块

时钟分频模块的工作框图如图4.4所示:

C0UNT<

=C0UNT+1;

ENDIF;

--输出第五位

CP<

=COUNT(3);

这块的功能是对左右两边的LLED1RLED1的闪烁时间间隔,以CLK为输入信号,CP为输出信号,在程序中定义一个八位节点信号COUN来放计数值,

当CLK的上升沿到来时就开始计数,最后将COUNT(3给CP实现对CLK的八分频。

再将CP的电平信号分别和LEDLLEDR电平与,最后用输出的电平来控制汽车左右的LLED1RLED1实现左右转的指示功能。

4.5顶层原理图

顶层原理图如图4.5所示:

”2

L^r

L*

耳fT

V

VI

勺ar

图4.5顶层文件VHD程序(tp.VHD)Libraryieee;

Useieee.std」ogic_1164.all;

Useieee.std_logic_unsigned.all;

Entitytpis

Port(clk:

inLeft:

inRight:

inBrake:

inNight:

in

顶层原理图

Ld1,ld2,ld3:

out

std_logic;

std_logic;

std」ogic;

 

Rd1,rd2,rd3:

outstd」ogic);

End;

Architecturebhoftpis

Componentszis

instd_logic;

Cp:

outstd_logic);

Endcomponent;

Componentctrlis

Port(left,right,brake,night:

Lp,rp,lr,brake_led,night_led:

Componentlcis

Port(clk,lp,lr,brake,night:

Ledl,ledb,ledn:

Componentrcis

Port(clk,rp,lr,brake,night:

instd_logic;

Ledr,ledb,ledn:

Signaltmp0,tmp1,tmp2,tmp3,tmp4:

Signalerr0,err1,err2,err3,err4,err5:

std」ogic;

signalbm:

Begin

U1:

szportmap(clk,bm);

U2:

ctrlportmap(left,right,brake,night,tmp0,tmp1,tmp2,tmp3,tmp4);

U3:

lcportmap(clk,tmp0,tmp2,tmp3,tmp4,err0,err1,err2);

U4:

rcportmap(clk,tmp1,tmp2,tmp3,tmp4,err3,err4,err5);

Ld1<

=err0andbm;

Ld2<

=err1;

Ld3<

=err2;

Rd1<

=err3andbm;

Rd2<

=err4;

Rd3<

=err5;

5各模块的时序仿真图

5.1汽车尾灯主控模块仿真

汽车尾灯主控模块由VHDL程序实现后,其仿真图如图5.1所示:

If■!

«

'

rtl丄

ITC

k

廿1

A

LWT

LT

FTM

KLIHT.UEII

IT閉T

TJ

u

图5.1汽车尾灯主控模块仿真图

对时序仿真图进行分析:

当分别输入刹车信号BRAKE左转控制信号

LEFT夜间信号NIGHT右转控制信号RIGHT时,

BRAKE_LEDLP,NIGHT_LEDRP分别亮;

当同时输入杀V车信号BRAKE左转控制信号LEFT,BRAKE_LE和LP同时亮;

当同时输入BRAKE口NIGHT同时输入BRAK和RIGHT同时输入LEFT和NIGHT同时输入NIGHT和RIGHT对应的灯分别同时亮;

当同时输入BRAKELEFT和NIGHT同时输入BRAKERIGHT和

NIGHT寸,对应的灯分别同时亮;

只要出现LEFT和RIGHT同时输入的情况,LP和RP都不亮,LR输出为“1”

5.2左边灯控制模块仿真

左边灯控制模块由VHDL程序实现后,其仿真图如下图5.2所示:

图5.2左边灯控制模块仿真图

LEFT夜间信号NIGHT相应的灯都会亮。

5.3右边灯控制模块仿真

左边灯控制模块由VHDL程序实现后,其仿真图如下图5.2所示:

5.4时钟分频模块仿真

分频模块由VHDL程序实现后,其仿真图如图5.4所示:

图5.4时钟分频模块仿真图

对其仿真图进行仿真分析:

时钟分频实现了信号同步

5.5顶层原理图

顶层原理图实现后,其仿真图如图5.5所示:

图5.5顶层原理图仿真图

RIGHTLEFT,NIGHT,BRAK为输入信号,RIGHT

为1表示右转,LEFT为1表示左转,NIGHT为1表示夜间行路,BRAKES1表示刹车。

RD1,RD2,RD为输出信号,表示汽车右侧的三盏灯。

LD1,LD2,LD3为输出信号,表示汽车左侧的三盏灯。

如图所示:

当RIGHT为1时,RD1输出为1

表示右侧灯亮,当LEFT为1时,LD1为输出为1表示左侧灯亮,当NIGHT为1时,LD3,RD3俞出均为1,表示左,右两侧各有一盏灯亮。

当BRAKES1时,LD2,RD2俞出均为1,表示左,右两侧各有一盏灯亮。

6总结

通过本次课程设计,我们对EDA技术有了更深的了解,初步学会了采用自顶向下的系统设计方法设计系统,并熟练掌握了利用VHDL语言进行简单的

电路模块设计。

此外,我们还进一步熟悉了QuartusII这款软件的使用,深刻体会到了用软件实现硬件设计的便捷与优越。

本次课程设计不仅培养了我们实际操作能力,也培养了我们灵活运用课本知识,理论联系实际,分析问题和解决问题的能力。

它不仅仅是一个学习新知识新方法的好机会,同时也是对我所学知识的一次综合的检验和复习,使我明白了自己的缺陷所在,从而查漏补缺。

本设计采用自顶向下设计方法,底层为一些具有独立功能的小模块,完成这些小模块后再合到一起完成顶层文件的设计。

从局部到整体,不仅使得系统设计的思路清晰明了,减少了错误的产生,更方便了程序的调试以及系统功能的扩充。

在设计过程中,能与同学相互交流讨论,不仅降低了设计难度,缩短了设计周期,更是进一步培养了我们的团队合作精神。

在此次设计过程中,不仅要求我们掌握扎实的理论知识,分析问题能从根本原理出发,联系实际解决问题,还要求我们要有耐心,毅力及细心。

稍有不慎,一个小小的错误就会导致结果的不正确,而对错误的检查更要求我们要有足够的耐心,反复调试,直到程序顺利通过。

这次设计中我也遇到了一些问题,但通过相关资料的查询,在老师的指导和同学们的帮助下,都顺利得以解决。

这些经历使我得以积累了一定的经验,相信对以后学习设计工作也会有一定的帮助。

7参考文献

《ED/技术及应用教程》赵全利秦春斌主编机械工业出版社

《EDA技术与数字系统设计》邹彦主编电子工业出版社

《实用电子电路200例》张庆双主编.机械工业出版社

《电子电路EDA技术》赵世强、许杰等编西安电子科技大学出版社

展开阅读全文
相关资源
猜你喜欢
相关搜索

当前位置:首页 > IT计算机 > 电脑基础知识

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2