eda学习心得5篇总和.docx

上传人:b****1 文档编号:2432525 上传时间:2023-05-03 格式:DOCX 页数:9 大小:23.46KB
下载 相关 举报
eda学习心得5篇总和.docx_第1页
第1页 / 共9页
eda学习心得5篇总和.docx_第2页
第2页 / 共9页
eda学习心得5篇总和.docx_第3页
第3页 / 共9页
eda学习心得5篇总和.docx_第4页
第4页 / 共9页
eda学习心得5篇总和.docx_第5页
第5页 / 共9页
eda学习心得5篇总和.docx_第6页
第6页 / 共9页
eda学习心得5篇总和.docx_第7页
第7页 / 共9页
eda学习心得5篇总和.docx_第8页
第8页 / 共9页
eda学习心得5篇总和.docx_第9页
第9页 / 共9页
亲,该文档总共9页,全部预览完了,如果喜欢就下载吧!
下载资源
资源描述

eda学习心得5篇总和.docx

《eda学习心得5篇总和.docx》由会员分享,可在线阅读,更多相关《eda学习心得5篇总和.docx(9页珍藏版)》请在冰点文库上搜索。

eda学习心得5篇总和.docx

eda学习心得5篇总和

eda学习心得5篇总和

  eda一般指电子设计自动化。

是指利用计算机辅助设计(CAD)软件,来完成超大规模集成电路(VLSI)芯片的功能设计、综合、验证、物理设计(包括布局、布线、版图、设计规则检查等)等流程的设计方式。

下面给大家带来一些关于eda学习心得,希望对大家有所帮助。

  eda学习心得1

  本学期末我们进行了EDA实训,我们组做的是四路智能抢答器,不过本次实训与以往最大的不同是在熟练并掌握Verilog硬件描述语言的基础上,运用Quartus软件,对其进行波形以及功能的仿真。

我们组抢答器的设计要求是:

可容纳四组参赛者,每组设置一个抢答按钮供抢答者使用,电路具有第一抢答信号的鉴别和锁存功能,系统具有计分、倒计时和倒计时锁存等电路,输入信号有:

各组的抢答按钮A、B、C、D,系统清零信号CLR,系统时钟信号CLK,计分复位端RST,加分按钮端ADD,计时预置控制端LDN,计时使能端EN,计时预置数据调整按钮可以用如TA、TB表示;系统的输出信号有:

四个组抢答成功与否的指示灯控制信号输出口可用如LEDA、LEDB、LEDC、LEDD表示,四个组抢答时的计时数码显示控制信号若干,抢答成功组别显示的控制信号若干,各组计分动态显示的控制信号若干。

整个系统至少有三个主要模块:

抢答鉴别模块、抢答计时模块、抢答计分模块。

  实训的第一天我们组三个人就开始对抢答器的各部分源程序进行调试,由于刚开始对于quartus2软件用的不是很熟练,所以在第一天几乎上没有啥大的进展,一直都在改程序中的错误。

在不停的重复的编译、改错。

拿着EDA修改稿、资料书检查出错的地方,一边又一遍的校对分析其中的错误。

  在实训中我们遇到了很多的问题。

为了解决这些问题我和他们两个都在的想办法通过各种渠道寻找解决问题的方法。

上网查资料、问同学、图书馆查资料、问老师、自己想办法,其实最有效的方法还是自己去想那样学到的东西才会更加的深刻记得时间也是最长的,他人的帮助当然是很好的,但只是暂时的要想真正的学到东西还是要靠自己去想办法。

不能一有问题就希望要他人帮忙,一定自己先好好想想实在解决不了的再去问老师找同学。

  由于在一开始的时候对quartus2软件的不熟悉耽误了很多的时间,在接下来的几天里遇到了不少的问题。

刚开始的时候是源程序中的错误一直在那改,好不容易几个模块中的错误都一个个排除了,但当把他们放到一起时问题就又出现了。

于是又开始了检查修改,可是弄了好长时间也没有弄明白,最后找了一个在实验室的同学说是顶层文件有问题。

于是晚上又找了些关于顶层文件资料还有课本上的例子。

最后对步骤已经有了很熟练的掌握,很快就完成了程序编译、仿真、下载到最后的调试。

  纸上谈来终觉浅,绝知此事要躬行。

在这短暂的两周实训中深深的感觉到了自己要学的东西实在是太多了,自己知道的是多么的有限,由于自身专业知识的欠缺导致了这次实训不是进行的很顺利,通过这次实训暴露了我们自身的诸多的不足之处,我们会引以为鉴,在以后的生活中更应该努力的学习。

  虽然实训仅仅进行了两个星期就匆匆的结束了,但在这两个星期中收获还是很多的。

实训的目的是要把学过的东西拿出来用这一个星期的实训中不仅用了而且对于quartus2软件的使用也更加的得心应手,这次实训提高了我们的动手能力、理论联系实际的能力、发现问题分析问题解决问题的能力。

实训只要你认真做了都是对自己能力一次很大的提高。

  本次设计过程中得到我们老师的悉心指导。

瓮老师多次询问设计进程,并为我们指点迷津,帮助我们理顺设计思路,精心点拨,时刻在帮助着我们去提高自己。

瓮老师一丝不苟的作风,严谨求实的态度,踏踏实实的精神,不仅是我学习的楷模,并将积极影响我今后的学习和工作。

在此诚挚地向瓮老师致谢。

  eda学习心得2

  当看到这门课的时候,我最初的感觉是很无语,书本上一大堆看不懂的东西,没有接触过的VHDL语言和一些电路图和实体,听起来也是一塌糊涂,对EDA技术很陌生,也感到很茫然,也没有信心,当接触到可编程器件的时候,看到大家同样感到很迷惘。

  随后在深入的学习中发现书本资料通过大量的图示对PLD硬件特性与编程技术进行了形象的讲解,不仅融合了之前学习的关于电路设计的知识还将EDA的技术加入其中。

对VHDL语言的详尽讲解更是让我深刻理解了VHDL语言的编程原理。

由于本门课程是一门硬件学习课程,所以实验必不可少。

通过课程最后实验,我体会一些VHDL语言相对于其他编程语言的特点。

在接触VHDL语言之前,我已经学习了C语言,汇编语言,而相对于这些语言的学习,,VHDL具有明显的特点。

这不仅仅是由于VHDL作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,括目标芯片基本结构方面的知识更重要的是由于VHDL描述的对象始终是客观的电路系统。

由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。

这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。

例如可以在多个独立的模块中同时入行不同方式的数据交换和控制信号传输,这种并行工作方式是任何一种基于CPU的软件程序语言所无法描绘和实现的。

传统的软件编程语言只能根据CPU的工作方式,以排队式指令的形式来对特定的事件和信息控制或接收。

在CPU工作的任一时间段内只能完成一种操作。

因此,任何复杂的程序在一个单CPU的计算机中的运行,永远是单向和一维的。

因而程序设计者也几乎只以一维的思维模式就可以编程和工作了。

在试验箱上,编写相应的软件即可,否则,只在计算机上模拟调试软件,则无法了解单片机接口中各种控制信号的使用。

还可帮助学会分析问题和解决问题的能力。

这在单片机实验报告中都要体现出来。

  这门课是一门理论性和实践性都很强的专业基础课,也是一门综合性的技术基础学科,它需要程序语言、数学、物理学、电子学、力学、机械等知识,同时还要掌握各种物理量的变换测定,以及实验装置的设计和数据分析等方面所涉及的基础理论。

许多测试理论和方法只有通过实际验证才能加深理解并真正掌握。

实验就是使学生加深理解所学基础知识,掌握各类典型传感、记录仪器的基本原理和适用范围;具有测试系统的选择及应用能力;具有实验数据处理和误差分析能力;得到基本实验技能的训练与分析能力的训练,使学生初步掌握

  测试技术的基本方法,具有初步独立进行电子信息工程测试的能力,对各门知识得到融会贯通的认识和掌握,加深对理论知识的理解。

实验课是本门课程的重要环节,其目的是培养学生的分析和解决实际问题的能力,从而掌握机械工程测试技术手段,为将来从事技术工作和科学研究奠定扎实的基础。

  通过本门课程实验,以下能力得到了较大的提高:

  了解了可编程逻辑器件操作的原理和应用,以及试验箱及软件编译环境的使用的注意事项及各种测试中不同问题的处理方法。

  在学习的过程中,我深深体会到,学习不单单要将理论知识学扎实了,更重要的是实际动手操作能力,学完了课本知识,我并没有觉得自己有多大的提高,但是在随后的实验过程中我真的感觉学会了很多,学到了很多知识,在实践中更加理解了书本上的理论知识的经典所在以及这门学科的意义和用处!

真心希望以后的课程都能将理论与实践充分的结合起来,在实践的过程中串联书本的知识,让理论化为实践的力量!

  eda学习心得3

  摘要:

本学期我对EDA技术进行了学习,通过学习,我掌握了部分EDA技术的知识。

本学期对EDA技术的学习为我的专业知识学习打开了一个全新的窗口——微电子技术领域。

对EDA技术,我更是有了全新的认识。

微电子技术的进步主要表现在大规模集成电路加工技术即半导体工艺技术的发展上,使得表征半导体工艺水平的线宽已经达到了纳米级。

所以,集成电路设计正在不断地向超大规模、极低功耗和超高速的方向发展。

而现代电子设计技术的核心已日趋转向基于计算机的电子设计自动化技术,即EDA技术。

  EDA技术的特点和优势

  技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言HDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑编译、化简、分割、综合、布局布线以及逻辑优化和仿真测试,直至实现既定的电子线路系统功能。

EDA技术使得设计者的工作仅限于利用软件的方式,即利用硬件描述语言和EDA软件来完成对系统硬件功能的实现,这是电子设计技术的一个巨大进步。

EDA技术在进入21世纪后,得到了更大的发展。

嵌入式处理器软核的成熟,使得SOPC步入大规模应用阶段。

电子技术领域全方位融入EDA技术,除了日益成熟的数字技术外,传统的电路系统设计建模理念发生了重大的变化。

同时,EDA使得电子领域各学科的界限更加模糊,更加互为包容。

这些都利于设计人员利用EDA技术进行电子系统设计,如全定制或半定制ASIC设计,FPGA/CPLD开发应用和印制电路板。

从EDA技术的特点不难看出,相比于传统的数字电子系统或IC设计,EDA技术拥有独特的优势。

在传统的数字电子系统或IC设计中,手工设计占了较大的比例。

因此,也存在很多缺点。

例如:

复杂电路的设计、调试十分困难;由于无法进行硬件系统仿真,如果某一过程存在错误,查找和修改十分不便;设计过程中产生大量文档,不易管理;可移植性差等。

相比之下,EDA技术有很大不同。

它运用HDL对数字系统进行抽象的行为与功能描述到具体的内部线路结构描述,从而可以在电子设计的各个阶段、各个层次进行计算机模拟验证,保证设计过程的正确性,可以大大降低设计成本,缩短设计周期。

由于有各类库的支持,能够完成各种自动设计过程。

它极大地简化了设计文档的管理,逻辑设计仿真测试技术也日益强大。

VHDL在现在的EDA设计中使用最多,也拥有几乎所有主流EDA工具的支持。

  EDA工具

  EDA工具在EDA技术应用中占据极其重要的位置,EDA的核心是利用计算机完成电子设计全过程自动化,因此,基于计算机环境的EDA软件的支持是必不可少的。

EDA工具大致可以分为如下5个模块:

设计输入编辑器;仿真器;HDL综合器;适配器(或布局布线器);下载器。

VHDL语言基础

  VHDL作为一个规范语言和建模语言,不仅可以作为系统模拟的建模工具,而且可以作为电路系统的设计工具,可以利用软件工具将VHDL源码自动地转化为文本方式表达的基本逻辑元件连接图,即网表文件。

这种方法显然对于电路自动设计是一个极大的推进。

它具有很强的电路描述和建模能力,能从多个层次对数字系统进行建模和描述,从而大大简化了硬件设计任务,提高了设计效率和可靠性。

EDA技术良好的可移植性与可测试性,将所有设计环节纳入统一的自顶向下的设计方案中。

它不但在整个设计流程上充分利用计算机的自动设计能力、在各个设计层次上利用计算机完成不同内容的仿真模拟,而且在系统板设计结束后仍可利用计算机对硬件系统进行完整的测试。

书中通过大量的图示对PLD硬件特性与编程技术进行了形象的讲解,不仅融合了之前学习的关于电路设计的知识还将EDA的技术加入其中。

对VHDL语言的详尽讲解更是让我深刻理解了VHDL语言的编程原理。

  VHDL可以把任意复杂的电路系统作为一个模块。

一个模块可以分为3个主要的组成部分:

参数部分,接口部分,描述部分。

参数部分主要由库和程序包说明组成。

每个VHDL模块中仅有一个设计实体它类似于原理图中一个不见符号。

实体并不描述设计的具体功能,只用于定义该设计所需的全部输入信号。

结构体主要描述实体的内部结构,即描述一个实体的功能。

VHDL结构体有多种描述方式:

行为描述方式,数据流描述方式,结构描述方式,混合描述方式等。

  EDA技术的综合体会

  由于本门课程是一门硬件学习课程,所以实验必不可少。

通过课程最后实验,我体会一些VHDL语言相对于其他编程语音的特点。

相对于其它计算机语言的学习,如C或汇编语言,VHDL具有明显的特点。

这不仅仅是由于VHDL作为一种硬件描述语言的学习需要了解较多的数字逻辑方面的硬件电路知识,包括目标芯片基本结构方面的知识更重要的是由于VHDL描述的对象始终是客观的电路系统。

由于电路系统内部的子系统乃至部分元器件的工作状态和工作方式可以是相互独立、互不相关的,也可以是互为因果的。

这表明,在任一时刻,电路系统可以有许多相关和不相关的事件同时并行发生。

例如可以在多个独立的模块中同时入行不同方式的数据交换和控制信号传输,这种并行工作方式是任何一种基于CPU的软件程序语言所无法描绘和实现的。

传统的软件编程语言只能根据CPU的工作方式,以排队式指令的形式来对特定的事件和信息进行控制或接收。

在CPU工作的任一时间段内只能完成一种操作。

VHDL虽然也含有类似于软件编程语言的顺序描述语句结构,但其工作方式是完全不同的。

软件语言的语句是根据CPU的顺序控制信号,按时钟节拍对应的指令周期节拍逐条运行的,每运行一条指令都有确定的执行周期。

但VHDL则不同,从表面上观,VHDL的顺序语句与软件语句有相同的行为描述方式,但在标准的仿真执行中有很大的区别。

VHDL的语言描述只是综合器赖以构成硬件结构的一种依据,但进程语句结构中的顺序语句的执行方式决非是按时钟节拍运行的。

实际情况是其中的每一条语句的执行时间几乎是0(但该语句的运行时间却不一定为0),即1000条顺序语

  句与10条顺序语句的执行时间是相同的。

在此,语句的运行和执行具有不同的概念(在软件语言中,它们的概念是相同),的执行是指启动一条语句,允许它运行一次,而运行就是指该语句完成其设定的功能。

  通过学习,我认识到理论要与实际结合,培养动手动脑能力的重要性,做事情要抱着一丝不苟的态度,这样才能做好事情。

同时也入一步了解到EDA的强大之处,硬件电路的优秀的地方,对硬件方面更感兴趣了。

这门课程的学习,为我以后的专业知识的学习打下了良好的基础。

  eda学习心得4

  在本次一周的实训中我完全投入到了Protel99SE软件之中,我感觉学到了很多收获也很多对次软件的应用更加熟练也更加的喜欢。

之前上课时都不是很重视心想就是个软件很好用的,但经过这次实训所有的东西并不是想的那么简单。

Protel99se这套软件是我们的支柱,是我门专业的重点,它对我们以后更好的学习有很大的意义。

在这次实训中我看到了自己许多的不足,在绘制pcb的工程中出现了许多的错,ERC错误较多

  1、管脚没有接入信号。

原因:

创建元件时pin方向反向,必须非pinname端连线.。

  2、在绘制原理图得时候元器件调用出现错误。

  3、网络载入时报告NODE没有找到。

  原因:

  1、PCB原理图中的元件使用了pcb库中没有的封装。

  3、原理图中的元件使用了pcb库中名称不一致的封装

  4、原理图中的元件使用了pcb库中pinnumber不一致的封装.如二极管:

sch中pinnumber为1、2,而pcb中为A、K。

  那都是我盲目的话不了解原理图中一些元器件的功能而导致的,因此在以后的学习中我不要只局限在会用而要知道问什么是这样,要更深更充分的学习。

我在Protel99se软件的学习中,感觉学到了很多收获也很多特别是在实际操作方面有了很大进步。

即使如此,依然存在很多问题,我也上网查阅了很多资料,结合自己的疑问和老师的指导建议。

自己在实训过程中有时做做作业心就烦了不想画了,不能踏踏实实的做题,在自己的坚持下还是把题做完。

我在实训中深刻的体会到做任何一件事都需要认真,有耐心,有毅力,要努力地克制自己。

实训虽然结束了,但我们的学习并未结束,我们应该好好珍惜在学校的每一天,多学习,把自身的弱势和不足变成优势和特长,为自己拥有一个美好的未来而加倍努力。

  eda学习心得5

  EDA课程设计心得体会,这次EDA课程设计历时两个星期,通过这次设计,通过这次课程设计使我懂得了理论与实际相结合是很重要的,在设计的过程中遇到问题,同时在设计的过程中发现了自己的不足之处,这次设计的数字秒表还是比较成功的,在设计中遇到了很多问题,PLC实训心得,在学完PLC理论课程后我们做了课程设计,此次设计以分组的方式进行,没有过实际开发设计的经验,我们基本学会了PLC设计的步聚和基本方法。

  这次EDA课程设计历时两个星期,在整整两个星期的日子里,可以说是苦多于甜,但是可以学的到很多很多的东西,同时不仅可以巩固以前所学过的知识,而且学到了很多在书本上所没有学到过的知识。

通过这次设计,进一步加深了对EDA的了解,让我对它有了更加浓厚的兴趣。

特别是当每一个子模块编写调试成功时,心里特别的开心。

但是在编写顶层文件的程序时,遇到了不少问题,特别是各元件之间的连接,以及信号的定义,总是有错误,在细心的检查下,终于找出了错误和警告,排除困难后,程序编译就通过了,心里终于舒了一口气。

在波形仿真时,也遇到了一点困难,想要的结果不能在波形上得到正确的显示:

在设定输入的时钟信号后,数字秒表开始计数,但是始终看不到秒和小时的循环计数。

后来,在数十次的调试之后,才发现是因为输入的时钟信号对于器件的延迟时间来说太短了。

经过屡次调试,终于找到了比较合适的输入数值:

时钟周期设置在15秒左右比较合适。

另外,Endtime的值需要设置的长一点:

500us左右,这样就可以观察到完整的仿真结果。

  其次,在连接各个模块的时候一定要注意各个输入、输出引脚的线宽,因为每个线宽是不一样的,只要让各个线宽互相匹配,才能得出正确的结果,否则,出现任何一点小的误差就会导致整个文件系统的编译出现错误提示,在器件的选择上也有一定的技巧,只有选择了合适当前电路所适合的器件,编译才能得到完满成功。

  通过这次课程设计使我懂得了理论与实际相结合是很重要的,只有理论知识是远远不够的,只有把所学的理论知识与实践相结合起来,从理论中得出结论,才能真正为社会服务,从而提高自己的实际动手能力和独立思考的能力。

在设计的过程中遇到问题,可以说得是困难重重,这毕竟第一次做的,难免会遇到过各种各样的问题,同时在设计的过程中发现了自己的不足之处,对以前所学过的知识理解得不够深刻,掌握得不够牢固。

展开阅读全文
相关资源
猜你喜欢
相关搜索
资源标签

当前位置:首页 > 自然科学 > 物理

copyright@ 2008-2023 冰点文库 网站版权所有

经营许可证编号:鄂ICP备19020893号-2